master
Chloe Fontenot 🏳️‍⚧️ 2022-09-21 09:00:15 +07:00
parent b65a9d07ba
commit 3a2bb956a5
3 changed files with 276 additions and 0 deletions

@ -0,0 +1,276 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0"/>
<lib desc="#Gates" name="1"/>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4"/>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<main name="main"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="main">
<a name="circuit" val="main"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(510,190)" to="(510,450)"/>
<wire from="(890,210)" to="(890,220)"/>
<wire from="(870,230)" to="(870,240)"/>
<wire from="(420,100)" to="(420,110)"/>
<wire from="(420,180)" to="(420,190)"/>
<wire from="(420,340)" to="(420,350)"/>
<wire from="(420,260)" to="(420,270)"/>
<wire from="(520,110)" to="(520,440)"/>
<wire from="(290,290)" to="(290,300)"/>
<wire from="(290,210)" to="(290,220)"/>
<wire from="(290,130)" to="(290,140)"/>
<wire from="(290,370)" to="(290,390)"/>
<wire from="(170,280)" to="(280,280)"/>
<wire from="(80,120)" to="(80,140)"/>
<wire from="(620,100)" to="(720,100)"/>
<wire from="(210,250)" to="(210,270)"/>
<wire from="(600,120)" to="(600,150)"/>
<wire from="(860,250)" to="(860,340)"/>
<wire from="(420,190)" to="(510,190)"/>
<wire from="(980,210)" to="(1000,210)"/>
<wire from="(80,140)" to="(110,140)"/>
<wire from="(190,120)" to="(280,120)"/>
<wire from="(560,110)" to="(580,110)"/>
<wire from="(630,460)" to="(790,460)"/>
<wire from="(800,170)" to="(890,170)"/>
<wire from="(800,210)" to="(890,210)"/>
<wire from="(180,90)" to="(180,200)"/>
<wire from="(540,90)" to="(540,190)"/>
<wire from="(800,230)" to="(870,230)"/>
<wire from="(510,450)" to="(590,450)"/>
<wire from="(800,220)" to="(880,220)"/>
<wire from="(800,240)" to="(860,240)"/>
<wire from="(500,270)" to="(550,270)"/>
<wire from="(310,110)" to="(420,110)"/>
<wire from="(310,190)" to="(420,190)"/>
<wire from="(310,270)" to="(420,270)"/>
<wire from="(310,350)" to="(420,350)"/>
<wire from="(420,110)" to="(520,110)"/>
<wire from="(550,100)" to="(550,270)"/>
<wire from="(100,80)" to="(190,80)"/>
<wire from="(50,100)" to="(50,140)"/>
<wire from="(190,80)" to="(190,120)"/>
<wire from="(550,100)" to="(580,100)"/>
<wire from="(800,180)" to="(890,180)"/>
<wire from="(860,250)" to="(890,250)"/>
<wire from="(100,90)" to="(180,90)"/>
<wire from="(520,440)" to="(590,440)"/>
<wire from="(50,100)" to="(60,100)"/>
<wire from="(100,100)" to="(170,100)"/>
<wire from="(100,110)" to="(160,110)"/>
<wire from="(880,220)" to="(880,230)"/>
<wire from="(860,240)" to="(860,250)"/>
<wire from="(160,360)" to="(280,360)"/>
<wire from="(240,300)" to="(290,300)"/>
<wire from="(240,220)" to="(290,220)"/>
<wire from="(240,140)" to="(290,140)"/>
<wire from="(720,210)" to="(780,210)"/>
<wire from="(540,90)" to="(580,90)"/>
<wire from="(600,150)" to="(640,150)"/>
<wire from="(490,470)" to="(590,470)"/>
<wire from="(110,250)" to="(210,250)"/>
<wire from="(180,200)" to="(280,200)"/>
<wire from="(870,240)" to="(890,240)"/>
<wire from="(510,190)" to="(540,190)"/>
<wire from="(800,190)" to="(890,190)"/>
<wire from="(40,140)" to="(50,140)"/>
<wire from="(160,110)" to="(160,360)"/>
<wire from="(560,110)" to="(560,350)"/>
<wire from="(420,350)" to="(490,350)"/>
<wire from="(210,190)" to="(210,250)"/>
<wire from="(600,150)" to="(600,160)"/>
<wire from="(530,80)" to="(580,80)"/>
<wire from="(240,390)" to="(290,390)"/>
<wire from="(240,220)" to="(240,300)"/>
<wire from="(240,140)" to="(240,220)"/>
<wire from="(210,110)" to="(210,190)"/>
<wire from="(210,270)" to="(210,350)"/>
<wire from="(530,80)" to="(530,110)"/>
<wire from="(240,300)" to="(240,390)"/>
<wire from="(500,460)" to="(590,460)"/>
<wire from="(720,100)" to="(720,210)"/>
<wire from="(800,200)" to="(890,200)"/>
<wire from="(720,100)" to="(790,100)"/>
<wire from="(240,390)" to="(240,440)"/>
<wire from="(520,110)" to="(530,110)"/>
<wire from="(610,480)" to="(610,540)"/>
<wire from="(170,100)" to="(170,280)"/>
<wire from="(420,270)" to="(500,270)"/>
<wire from="(940,210)" to="(950,210)"/>
<wire from="(210,110)" to="(280,110)"/>
<wire from="(210,190)" to="(280,190)"/>
<wire from="(210,350)" to="(280,350)"/>
<wire from="(210,270)" to="(280,270)"/>
<wire from="(500,270)" to="(500,460)"/>
<wire from="(860,340)" to="(1000,340)"/>
<wire from="(880,230)" to="(890,230)"/>
<wire from="(490,350)" to="(560,350)"/>
<wire from="(490,350)" to="(490,470)"/>
<comp lib="0" loc="(610,540)" name="Pin">
<a name="facing" val="north"/>
<a name="width" val="2"/>
<a name="tristate" val="false"/>
<a name="label" val="sregsel"/>
<a name="labelloc" val="south"/>
</comp>
<comp lib="1" loc="(940,210)" name="OR Gate">
<a name="inputs" val="8"/>
</comp>
<comp lib="0" loc="(1000,340)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="negative"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(640,150)" name="Tunnel">
<a name="width" val="2"/>
<a name="label" val="drs"/>
</comp>
<comp lib="0" loc="(600,160)" name="Pin">
<a name="facing" val="north"/>
<a name="width" val="2"/>
<a name="tristate" val="false"/>
<a name="label" val="dregsel"/>
<a name="labelloc" val="south"/>
</comp>
<comp lib="0" loc="(790,100)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="label" val="dbus"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(780,210)" name="Splitter">
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="4" loc="(310,350)" name="Register"/>
<comp lib="0" loc="(110,250)" name="Pin">
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="label" val="dval"/>
</comp>
<comp lib="4" loc="(310,110)" name="Register"/>
<comp lib="0" loc="(1000,210)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="zero"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(240,440)" name="Clock">
<a name="facing" val="north"/>
</comp>
<comp lib="0" loc="(420,260)" name="Pin">
<a name="facing" val="south"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="label" val="r2"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="4" loc="(310,190)" name="Register"/>
<comp lib="2" loc="(620,100)" name="Multiplexer">
<a name="select" val="2"/>
<a name="width" val="8"/>
</comp>
<comp lib="4" loc="(310,270)" name="Register"/>
<comp lib="2" loc="(630,460)" name="Multiplexer">
<a name="select" val="2"/>
<a name="width" val="8"/>
</comp>
<comp lib="0" loc="(110,140)" name="Tunnel">
<a name="width" val="2"/>
<a name="label" val="drs"/>
</comp>
<comp lib="2" loc="(60,100)" name="Demultiplexer">
<a name="select" val="2"/>
</comp>
<comp lib="0" loc="(420,180)" name="Pin">
<a name="facing" val="south"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="label" val="r1"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="6" loc="(404,49)" name="Text">
<a name="text" val="Registers"/>
</comp>
<comp lib="0" loc="(420,100)" name="Pin">
<a name="facing" val="south"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="label" val="r0"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="0" loc="(420,340)" name="Pin">
<a name="facing" val="south"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="label" val="r3"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="0" loc="(40,140)" name="Pin">
<a name="tristate" val="false"/>
<a name="label" val="dwrite"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(980,210)" name="NOT Gate"/>
<comp lib="0" loc="(790,460)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="label" val="sbus"/>
<a name="labelloc" val="east"/>
</comp>
</circuit>
</project>

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 20 KiB