master
Caleb Fontenot 2022-10-07 08:45:39 +07:00
parent f4ce5f4a09
commit 881ed4d9f0
4 changed files with 1147 additions and 0 deletions

Binary file not shown.

@ -0,0 +1,974 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0">
<tool name="Constant">
<a name="value" val="0x0"/>
</tool>
</lib>
<lib desc="#Gates" name="1"/>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4">
<tool name="ROM">
<a name="contents">addr/data: 8 8
0
</a>
</tool>
</lib>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<main name="logicNoChip"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="logicNoChip">
<a name="circuit" val="logicNoChip"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<appear>
<rect fill="none" height="210" stroke="#000000" stroke-width="2" width="120" x="20" y="20"/>
<text font-family="SansSerif" font-size="10" text-anchor="middle" x="41" y="34">invalue</text>
<text font-family="SansSerif" font-size="10" text-anchor="middle" x="80" y="34">negative</text>
<text font-family="SansSerif" font-size="10" text-anchor="middle" x="121" y="34">zero</text>
<text font-family="SansSerif" font-size="10" text-anchor="middle" x="115" y="44">addrsel</text>
<text font-family="SansSerif" font-size="10" text-anchor="middle" x="119" y="63">irload</text>
<text font-family="SansSerif" font-size="10" text-anchor="middle" x="118" y="84">imload</text>
<text font-family="SansSerif" font-size="10" text-anchor="middle" x="118" y="104">regsel</text>
<text font-family="SansSerif" font-size="10" text-anchor="middle" x="117" y="123">dwrite</text>
<text font-family="SansSerif" font-size="10" text-anchor="middle" x="119" y="143">aluop</text>
<text font-family="SansSerif" font-size="10" text-anchor="middle" x="109" y="164">readwrite</text>
<text font-family="SansSerif" font-size="10" text-anchor="middle" x="120" y="184">pcsel</text>
<text font-family="SansSerif" font-size="10" text-anchor="middle" x="116" y="203">pcload</text>
<text font-family="SansSerif" font-size="10" text-anchor="middle" x="42" y="194">phase</text>
<text font-family="SansSerif" font-size="10" text-anchor="middle" x="61" y="220">dregsel</text>
<text font-family="SansSerif" font-size="10" text-anchor="middle" x="99" y="219">sregsel</text>
<circ-port height="10" pin="740,110" width="10" x="15" y="185"/>
<circ-port height="10" pin="380,160" width="10" x="55" y="225"/>
<circ-port height="10" pin="380,200" width="10" x="95" y="225"/>
<circ-port height="10" pin="380,240" width="10" x="135" y="135"/>
<circ-port height="10" pin="220,400" width="10" x="135" y="55"/>
<circ-port height="10" pin="520,400" width="10" x="135" y="75"/>
<circ-port height="10" pin="820,410" width="10" x="135" y="175"/>
<circ-port height="10" pin="880,580" width="10" x="135" y="155"/>
<circ-port height="10" pin="470,600" width="10" x="135" y="195"/>
<circ-port height="10" pin="300,840" width="10" x="135" y="95"/>
<circ-port height="10" pin="680,840" width="10" x="135" y="115"/>
<circ-port height="10" pin="1090,840" width="10" x="135" y="35"/>
<circ-port height="8" pin="70,520" width="8" x="116" y="16"/>
<circ-port height="8" pin="150,50" width="8" x="36" y="16"/>
<circ-port height="8" pin="70,680" width="8" x="76" y="16"/>
<circ-anchor facing="east" height="6" width="6" x="77" y="57"/>
</appear>
<wire from="(640,560)" to="(690,560)"/>
<wire from="(90,890)" to="(90,900)"/>
<wire from="(510,190)" to="(510,200)"/>
<wire from="(470,790)" to="(470,800)"/>
<wire from="(440,840)" to="(440,850)"/>
<wire from="(510,110)" to="(510,120)"/>
<wire from="(920,920)" to="(920,950)"/>
<wire from="(720,80)" to="(720,110)"/>
<wire from="(90,810)" to="(90,830)"/>
<wire from="(200,120)" to="(200,140)"/>
<wire from="(110,600)" to="(150,600)"/>
<wire from="(70,880)" to="(110,880)"/>
<wire from="(70,800)" to="(110,800)"/>
<wire from="(590,830)" to="(590,850)"/>
<wire from="(430,760)" to="(470,760)"/>
<wire from="(440,850)" to="(480,850)"/>
<wire from="(120,380)" to="(150,380)"/>
<wire from="(920,950)" to="(940,950)"/>
<wire from="(1020,890)" to="(1040,890)"/>
<wire from="(720,110)" to="(740,110)"/>
<wire from="(430,380)" to="(450,380)"/>
<wire from="(140,220)" to="(140,260)"/>
<wire from="(90,840)" to="(110,840)"/>
<wire from="(790,410)" to="(820,410)"/>
<wire from="(130,400)" to="(150,400)"/>
<wire from="(870,890)" to="(900,890)"/>
<wire from="(870,810)" to="(900,810)"/>
<wire from="(210,560)" to="(230,560)"/>
<wire from="(420,380)" to="(430,380)"/>
<wire from="(130,530)" to="(130,580)"/>
<wire from="(1060,840)" to="(1090,840)"/>
<wire from="(370,570)" to="(380,570)"/>
<wire from="(70,590)" to="(80,590)"/>
<wire from="(100,780)" to="(110,780)"/>
<wire from="(190,700)" to="(260,700)"/>
<wire from="(890,770)" to="(900,770)"/>
<wire from="(890,850)" to="(900,850)"/>
<wire from="(690,570)" to="(700,570)"/>
<wire from="(740,390)" to="(740,400)"/>
<wire from="(890,780)" to="(890,790)"/>
<wire from="(890,860)" to="(890,870)"/>
<wire from="(170,620)" to="(170,630)"/>
<wire from="(210,820)" to="(210,830)"/>
<wire from="(90,860)" to="(90,870)"/>
<wire from="(70,520)" to="(70,530)"/>
<wire from="(430,400)" to="(430,410)"/>
<wire from="(470,760)" to="(470,770)"/>
<wire from="(720,140)" to="(760,140)"/>
<wire from="(440,810)" to="(440,830)"/>
<wire from="(440,890)" to="(440,910)"/>
<wire from="(670,160)" to="(670,190)"/>
<wire from="(120,570)" to="(120,590)"/>
<wire from="(250,860)" to="(250,890)"/>
<wire from="(290,590)" to="(330,590)"/>
<wire from="(110,160)" to="(210,160)"/>
<wire from="(210,100)" to="(210,130)"/>
<wire from="(120,590)" to="(150,590)"/>
<wire from="(530,130)" to="(550,130)"/>
<wire from="(490,400)" to="(520,400)"/>
<wire from="(590,830)" to="(610,830)"/>
<wire from="(110,160)" to="(110,200)"/>
<wire from="(170,90)" to="(170,200)"/>
<wire from="(90,890)" to="(110,890)"/>
<wire from="(90,810)" to="(110,810)"/>
<wire from="(210,850)" to="(230,850)"/>
<wire from="(380,630)" to="(390,630)"/>
<wire from="(410,660)" to="(420,660)"/>
<wire from="(470,800)" to="(480,800)"/>
<wire from="(500,110)" to="(510,110)"/>
<wire from="(240,530)" to="(240,580)"/>
<wire from="(470,880)" to="(480,880)"/>
<wire from="(500,190)" to="(510,190)"/>
<wire from="(240,890)" to="(250,890)"/>
<wire from="(120,90)" to="(120,140)"/>
<wire from="(200,530)" to="(210,530)"/>
<wire from="(190,670)" to="(260,670)"/>
<wire from="(890,820)" to="(900,820)"/>
<wire from="(500,920)" to="(500,930)"/>
<wire from="(870,890)" to="(870,900)"/>
<wire from="(890,830)" to="(890,840)"/>
<wire from="(130,390)" to="(130,400)"/>
<wire from="(70,650)" to="(70,660)"/>
<wire from="(90,830)" to="(90,840)"/>
<wire from="(100,760)" to="(100,770)"/>
<wire from="(120,220)" to="(120,230)"/>
<wire from="(440,860)" to="(440,870)"/>
<wire from="(70,570)" to="(120,570)"/>
<wire from="(70,570)" to="(70,590)"/>
<wire from="(790,570)" to="(790,590)"/>
<wire from="(90,120)" to="(130,120)"/>
<wire from="(70,820)" to="(110,820)"/>
<wire from="(760,140)" to="(760,160)"/>
<wire from="(440,870)" to="(480,870)"/>
<wire from="(230,590)" to="(260,590)"/>
<wire from="(360,390)" to="(450,390)"/>
<wire from="(380,590)" to="(400,590)"/>
<wire from="(430,400)" to="(450,400)"/>
<wire from="(90,860)" to="(110,860)"/>
<wire from="(130,580)" to="(150,580)"/>
<wire from="(240,690)" to="(260,690)"/>
<wire from="(210,820)" to="(230,820)"/>
<wire from="(390,610)" to="(400,610)"/>
<wire from="(470,770)" to="(480,770)"/>
<wire from="(170,630)" to="(180,630)"/>
<wire from="(230,530)" to="(240,530)"/>
<wire from="(200,820)" to="(210,820)"/>
<wire from="(70,610)" to="(80,610)"/>
<wire from="(890,790)" to="(900,790)"/>
<wire from="(890,870)" to="(900,870)"/>
<wire from="(690,590)" to="(700,590)"/>
<wire from="(740,400)" to="(750,400)"/>
<wire from="(120,140)" to="(120,200)"/>
<wire from="(740,410)" to="(740,420)"/>
<wire from="(590,820)" to="(590,830)"/>
<wire from="(70,530)" to="(130,530)"/>
<wire from="(440,830)" to="(440,840)"/>
<wire from="(470,780)" to="(470,790)"/>
<wire from="(890,880)" to="(890,910)"/>
<wire from="(630,860)" to="(630,890)"/>
<wire from="(1000,830)" to="(1000,850)"/>
<wire from="(130,920)" to="(130,950)"/>
<wire from="(360,600)" to="(400,600)"/>
<wire from="(440,840)" to="(480,840)"/>
<wire from="(120,610)" to="(150,610)"/>
<wire from="(790,570)" to="(810,570)"/>
<wire from="(640,580)" to="(660,580)"/>
<wire from="(590,850)" to="(610,850)"/>
<wire from="(270,840)" to="(300,840)"/>
<wire from="(350,200)" to="(380,200)"/>
<wire from="(720,420)" to="(740,420)"/>
<wire from="(340,110)" to="(370,110)"/>
<wire from="(150,90)" to="(150,200)"/>
<wire from="(90,910)" to="(110,910)"/>
<wire from="(90,830)" to="(110,830)"/>
<wire from="(130,390)" to="(150,390)"/>
<wire from="(390,540)" to="(390,580)"/>
<wire from="(130,950)" to="(150,950)"/>
<wire from="(520,840)" to="(610,840)"/>
<wire from="(870,800)" to="(900,800)"/>
<wire from="(240,660)" to="(260,660)"/>
<wire from="(240,580)" to="(260,580)"/>
<wire from="(390,580)" to="(400,580)"/>
<wire from="(500,210)" to="(510,210)"/>
<wire from="(470,900)" to="(480,900)"/>
<wire from="(470,820)" to="(480,820)"/>
<wire from="(500,130)" to="(510,130)"/>
<wire from="(100,770)" to="(110,770)"/>
<wire from="(890,760)" to="(900,760)"/>
<wire from="(730,580)" to="(810,580)"/>
<wire from="(860,890)" to="(870,890)"/>
<wire from="(120,140)" to="(190,140)"/>
<wire from="(890,840)" to="(900,840)"/>
<wire from="(620,890)" to="(630,890)"/>
<wire from="(640,600)" to="(690,600)"/>
<wire from="(890,850)" to="(890,860)"/>
<wire from="(890,770)" to="(890,780)"/>
<wire from="(100,780)" to="(100,790)"/>
<wire from="(90,850)" to="(90,860)"/>
<wire from="(510,150)" to="(510,160)"/>
<wire from="(510,70)" to="(510,80)"/>
<wire from="(130,120)" to="(130,200)"/>
<wire from="(130,90)" to="(130,120)"/>
<wire from="(440,810)" to="(480,810)"/>
<wire from="(440,890)" to="(480,890)"/>
<wire from="(1000,830)" to="(1020,830)"/>
<wire from="(590,820)" to="(610,820)"/>
<wire from="(340,80)" to="(370,80)"/>
<wire from="(720,390)" to="(740,390)"/>
<wire from="(290,680)" to="(310,680)"/>
<wire from="(180,220)" to="(180,260)"/>
<wire from="(180,90)" to="(180,200)"/>
<wire from="(90,160)" to="(110,160)"/>
<wire from="(420,620)" to="(420,660)"/>
<wire from="(860,760)" to="(890,760)"/>
<wire from="(380,540)" to="(390,540)"/>
<wire from="(430,910)" to="(440,910)"/>
<wire from="(470,790)" to="(480,790)"/>
<wire from="(130,120)" to="(200,120)"/>
<wire from="(720,80)" to="(730,80)"/>
<wire from="(740,420)" to="(750,420)"/>
<wire from="(940,840)" to="(1020,840)"/>
<wire from="(530,210)" to="(540,210)"/>
<wire from="(580,820)" to="(590,820)"/>
<wire from="(790,560)" to="(790,570)"/>
<wire from="(870,800)" to="(870,810)"/>
<wire from="(890,820)" to="(890,830)"/>
<wire from="(90,900)" to="(90,910)"/>
<wire from="(70,560)" to="(70,570)"/>
<wire from="(440,850)" to="(440,860)"/>
<wire from="(90,100)" to="(140,100)"/>
<wire from="(680,140)" to="(720,140)"/>
<wire from="(830,600)" to="(830,630)"/>
<wire from="(470,800)" to="(470,820)"/>
<wire from="(470,880)" to="(470,900)"/>
<wire from="(170,420)" to="(170,450)"/>
<wire from="(230,560)" to="(230,590)"/>
<wire from="(440,860)" to="(480,860)"/>
<wire from="(790,590)" to="(810,590)"/>
<wire from="(530,170)" to="(550,170)"/>
<wire from="(530,90)" to="(550,90)"/>
<wire from="(140,100)" to="(140,200)"/>
<wire from="(650,840)" to="(680,840)"/>
<wire from="(730,200)" to="(760,200)"/>
<wire from="(360,240)" to="(380,240)"/>
<wire from="(500,930)" to="(530,930)"/>
<wire from="(70,910)" to="(90,910)"/>
<wire from="(90,850)" to="(110,850)"/>
<wire from="(80,760)" to="(100,760)"/>
<wire from="(130,410)" to="(150,410)"/>
<wire from="(190,150)" to="(210,150)"/>
<wire from="(870,900)" to="(900,900)"/>
<wire from="(120,610)" to="(120,660)"/>
<wire from="(500,150)" to="(510,150)"/>
<wire from="(470,760)" to="(480,760)"/>
<wire from="(500,70)" to="(510,70)"/>
<wire from="(160,450)" to="(170,450)"/>
<wire from="(100,790)" to="(110,790)"/>
<wire from="(140,100)" to="(210,100)"/>
<wire from="(820,630)" to="(830,630)"/>
<wire from="(890,860)" to="(900,860)"/>
<wire from="(890,780)" to="(900,780)"/>
<wire from="(740,390)" to="(750,390)"/>
<wire from="(690,590)" to="(690,600)"/>
<wire from="(1000,820)" to="(1000,830)"/>
<wire from="(890,870)" to="(890,880)"/>
<wire from="(160,220)" to="(160,230)"/>
<wire from="(70,530)" to="(70,540)"/>
<wire from="(470,770)" to="(470,780)"/>
<wire from="(1040,860)" to="(1040,890)"/>
<wire from="(390,610)" to="(390,630)"/>
<wire from="(890,790)" to="(890,820)"/>
<wire from="(90,870)" to="(90,890)"/>
<wire from="(70,610)" to="(70,630)"/>
<wire from="(770,430)" to="(770,460)"/>
<wire from="(150,50)" to="(150,70)"/>
<wire from="(210,830)" to="(210,850)"/>
<wire from="(440,910)" to="(480,910)"/>
<wire from="(440,830)" to="(480,830)"/>
<wire from="(760,180)" to="(760,200)"/>
<wire from="(790,560)" to="(810,560)"/>
<wire from="(1000,850)" to="(1020,850)"/>
<wire from="(440,600)" to="(470,600)"/>
<wire from="(730,180)" to="(750,180)"/>
<wire from="(670,190)" to="(700,190)"/>
<wire from="(160,90)" to="(160,200)"/>
<wire from="(90,900)" to="(110,900)"/>
<wire from="(200,140)" to="(210,140)"/>
<wire from="(100,760)" to="(110,760)"/>
<wire from="(660,160)" to="(660,210)"/>
<wire from="(190,600)" to="(260,600)"/>
<wire from="(780,560)" to="(790,560)"/>
<wire from="(890,910)" to="(900,910)"/>
<wire from="(890,830)" to="(900,830)"/>
<wire from="(860,800)" to="(870,800)"/>
<wire from="(60,390)" to="(130,390)"/>
<wire from="(760,460)" to="(770,460)"/>
<wire from="(690,560)" to="(690,570)"/>
<wire from="(310,610)" to="(310,680)"/>
<wire from="(890,840)" to="(890,850)"/>
<wire from="(890,760)" to="(890,770)"/>
<wire from="(110,90)" to="(110,160)"/>
<wire from="(190,140)" to="(190,150)"/>
<wire from="(130,400)" to="(130,410)"/>
<wire from="(140,90)" to="(140,100)"/>
<wire from="(110,780)" to="(110,790)"/>
<wire from="(90,840)" to="(90,850)"/>
<wire from="(100,770)" to="(100,780)"/>
<wire from="(70,660)" to="(120,660)"/>
<wire from="(380,570)" to="(380,590)"/>
<wire from="(430,380)" to="(430,400)"/>
<wire from="(440,870)" to="(440,890)"/>
<wire from="(720,110)" to="(720,140)"/>
<wire from="(70,660)" to="(70,680)"/>
<wire from="(470,420)" to="(470,450)"/>
<wire from="(190,400)" to="(220,400)"/>
<wire from="(90,140)" to="(120,140)"/>
<wire from="(1000,820)" to="(1020,820)"/>
<wire from="(680,580)" to="(700,580)"/>
<wire from="(350,160)" to="(380,160)"/>
<wire from="(310,610)" to="(330,610)"/>
<wire from="(430,410)" to="(450,410)"/>
<wire from="(90,870)" to="(110,870)"/>
<wire from="(210,830)" to="(230,830)"/>
<wire from="(850,580)" to="(880,580)"/>
<wire from="(470,780)" to="(480,780)"/>
<wire from="(500,170)" to="(510,170)"/>
<wire from="(460,450)" to="(470,450)"/>
<wire from="(500,90)" to="(510,90)"/>
<wire from="(150,840)" to="(230,840)"/>
<wire from="(990,820)" to="(1000,820)"/>
<wire from="(890,880)" to="(900,880)"/>
<wire from="(470,820)" to="(470,880)"/>
<wire from="(740,410)" to="(750,410)"/>
<comp lib="0" loc="(90,140)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="irbit6"/>
</comp>
<comp lib="0" loc="(380,630)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="false"/>
</comp>
<comp lib="0" loc="(160,450)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="phase"/>
</comp>
<comp lib="0" loc="(90,100)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="irbit4"/>
</comp>
<comp lib="6" loc="(478,356)" name="Text">
<a name="text" val="Immed Load"/>
<a name="font" val="SansSerif bold 18"/>
</comp>
<comp lib="0" loc="(470,600)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="pcload"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(430,760)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="true"/>
</comp>
<comp lib="0" loc="(860,800)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="two"/>
</comp>
<comp lib="0" loc="(580,820)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="false"/>
</comp>
<comp lib="6" loc="(778,366)" name="Text">
<a name="text" val="PC Select"/>
<a name="font" val="SansSerif bold 18"/>
</comp>
<comp lib="0" loc="(550,130)" name="Tunnel">
<a name="width" val="2"/>
<a name="label" val="one"/>
</comp>
<comp lib="0" loc="(530,90)" name="Splitter">
<a name="facing" val="west"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="0" loc="(1020,890)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="phase"/>
</comp>
<comp lib="1" loc="(680,580)" name="NOT Gate">
<a name="size" val="20"/>
</comp>
<comp lib="0" loc="(350,200)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="rs"/>
</comp>
<comp lib="0" loc="(370,570)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="irbit7"/>
</comp>
<comp lib="2" loc="(440,600)" name="Multiplexer">
<a name="select" val="2"/>
<a name="enable" val="false"/>
</comp>
<comp lib="0" loc="(620,890)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="phase"/>
</comp>
<comp lib="0" loc="(380,240)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="2"/>
<a name="label" val="aluop"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(520,400)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="imload"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(230,150)" name="Tunnel">
<a name="width" val="4"/>
<a name="label" val="op1op2"/>
</comp>
<comp lib="2" loc="(190,400)" name="Multiplexer">
<a name="select" val="2"/>
<a name="enable" val="false"/>
</comp>
<comp lib="0" loc="(160,220)" name="Splitter">
<a name="facing" val="north"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="0" loc="(540,210)" name="Tunnel">
<a name="width" val="2"/>
<a name="label" val="three"/>
</comp>
<comp lib="0" loc="(420,380)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="false"/>
</comp>
<comp lib="0" loc="(190,700)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="irbit7"/>
</comp>
<comp lib="0" loc="(220,400)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="irload"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(150,50)" name="Pin">
<a name="facing" val="south"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="label" val="irvalue"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="0" loc="(120,220)" name="Splitter">
<a name="facing" val="north"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="6" loc="(665,90)" name="Text">
<a name="text" val="Phase"/>
<a name="font" val="SansSerif bold 18"/>
</comp>
<comp lib="0" loc="(370,80)" name="Tunnel">
<a name="label" val="false"/>
</comp>
<comp lib="0" loc="(340,80)" name="Constant">
<a name="value" val="0x0"/>
</comp>
<comp lib="0" loc="(340,110)" name="Constant"/>
<comp lib="1" loc="(110,600)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(160,230)" name="Tunnel">
<a name="facing" val="north"/>
<a name="width" val="2"/>
<a name="label" val="rd"/>
</comp>
<comp lib="4" loc="(680,140)" name="Counter">
<a name="width" val="2"/>
<a name="max" val="0x3"/>
</comp>
<comp lib="6" loc="(271,518)" name="Text">
<a name="text" val="PC Load"/>
<a name="font" val="SansSerif bold 18"/>
</comp>
<comp lib="0" loc="(380,160)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="2"/>
<a name="label" val="dregsel"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="2" loc="(520,840)" name="Multiplexer">
<a name="select" val="4"/>
<a name="enable" val="false"/>
</comp>
<comp lib="0" loc="(230,150)" name="Splitter">
<a name="facing" val="west"/>
<a name="fanout" val="4"/>
<a name="incoming" val="4"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="0" loc="(460,450)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="phase"/>
</comp>
<comp lib="0" loc="(730,80)" name="Tunnel">
<a name="width" val="2"/>
<a name="label" val="phase"/>
</comp>
<comp lib="0" loc="(640,560)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="irbit4"/>
</comp>
<comp lib="0" loc="(530,130)" name="Splitter">
<a name="facing" val="west"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="0" loc="(1090,840)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="2"/>
<a name="label" val="addrsel"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="2" loc="(650,840)" name="Multiplexer">
<a name="select" val="2"/>
<a name="enable" val="false"/>
</comp>
<comp lib="0" loc="(530,170)" name="Splitter">
<a name="facing" val="west"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="0" loc="(430,910)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="false"/>
</comp>
<comp lib="1" loc="(290,680)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="4"/>
</comp>
<comp lib="1" loc="(230,530)" name="NOT Gate">
<a name="size" val="20"/>
</comp>
<comp lib="0" loc="(70,820)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="one"/>
</comp>
<comp lib="0" loc="(240,890)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="phase"/>
</comp>
<comp lib="6" loc="(664,108)" name="Text">
<a name="text" val="Counter"/>
<a name="font" val="SansSerif bold 18"/>
</comp>
<comp lib="6" loc="(500,29)" name="Text">
<a name="text" val="Decode Logic"/>
<a name="font" val="SansSerif bold 24"/>
</comp>
<comp lib="0" loc="(720,420)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="false"/>
</comp>
<comp lib="1" loc="(700,190)" name="AND Gate">
<a name="facing" val="west"/>
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="2" loc="(940,840)" name="Multiplexer">
<a name="select" val="4"/>
<a name="width" val="2"/>
<a name="enable" val="false"/>
</comp>
<comp lib="0" loc="(210,560)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="irbit7"/>
</comp>
<comp lib="0" loc="(70,800)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="two"/>
</comp>
<comp lib="0" loc="(550,170)" name="Tunnel">
<a name="width" val="2"/>
<a name="label" val="two"/>
</comp>
<comp lib="2" loc="(1060,840)" name="Multiplexer">
<a name="select" val="2"/>
<a name="width" val="2"/>
<a name="enable" val="false"/>
</comp>
<comp lib="0" loc="(530,210)" name="Splitter">
<a name="facing" val="west"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="2" loc="(490,400)" name="Multiplexer">
<a name="select" val="2"/>
<a name="enable" val="false"/>
</comp>
<comp lib="6" loc="(178,356)" name="Text">
<a name="text" val="IR Load"/>
<a name="font" val="SansSerif bold 18"/>
</comp>
<comp lib="0" loc="(70,680)" name="Pin">
<a name="facing" val="north"/>
<a name="tristate" val="false"/>
<a name="label" val="negative"/>
</comp>
<comp lib="0" loc="(720,390)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="true"/>
</comp>
<comp lib="0" loc="(940,950)" name="Tunnel">
<a name="width" val="4"/>
<a name="label" val="op1op2"/>
</comp>
<comp lib="0" loc="(880,580)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="readwrite"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(640,600)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="irbit6"/>
</comp>
<comp lib="0" loc="(150,950)" name="Tunnel">
<a name="width" val="4"/>
<a name="label" val="op1op2"/>
</comp>
<comp lib="0" loc="(760,460)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="phase"/>
</comp>
<comp lib="0" loc="(300,840)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="2"/>
<a name="label" val="regsel"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(140,220)" name="Splitter">
<a name="facing" val="north"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="0" loc="(680,840)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="dwrite"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(500,170)" name="Constant"/>
<comp lib="0" loc="(200,820)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="zero"/>
</comp>
<comp lib="0" loc="(150,70)" name="Splitter">
<a name="facing" val="south"/>
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="0" loc="(640,580)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="irbit5"/>
</comp>
<comp lib="0" loc="(500,130)" name="Constant">
<a name="value" val="0x0"/>
</comp>
<comp lib="0" loc="(360,240)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="op2"/>
</comp>
<comp lib="0" loc="(60,390)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="false"/>
</comp>
<comp lib="0" loc="(780,560)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="false"/>
</comp>
<comp lib="0" loc="(380,200)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="2"/>
<a name="label" val="sregsel"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(70,910)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="zero"/>
</comp>
<comp lib="0" loc="(500,210)" name="Constant"/>
<comp lib="0" loc="(860,760)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="zero"/>
</comp>
<comp lib="0" loc="(550,90)" name="Tunnel">
<a name="width" val="2"/>
<a name="label" val="zero"/>
</comp>
<comp lib="0" loc="(80,760)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="three"/>
</comp>
<comp lib="0" loc="(530,930)" name="Tunnel">
<a name="width" val="4"/>
<a name="label" val="op1op2"/>
</comp>
<comp lib="0" loc="(500,70)" name="Constant">
<a name="value" val="0x0"/>
</comp>
<comp lib="1" loc="(70,630)" name="NOT Gate">
<a name="facing" val="north"/>
<a name="size" val="20"/>
</comp>
<comp lib="2" loc="(190,600)" name="Multiplexer">
<a name="select" val="2"/>
<a name="enable" val="false"/>
</comp>
<comp lib="0" loc="(500,90)" name="Constant">
<a name="value" val="0x0"/>
</comp>
<comp lib="0" loc="(860,890)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="one"/>
</comp>
<comp lib="2" loc="(850,580)" name="Multiplexer">
<a name="select" val="2"/>
<a name="enable" val="false"/>
</comp>
<comp lib="1" loc="(360,600)" name="OR Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="6" loc="(1033,790)" name="Text">
<a name="text" val="Address Select"/>
<a name="font" val="SansSerif bold 18"/>
</comp>
<comp lib="6" loc="(258,795)" name="Text">
<a name="text" val="Register Select"/>
<a name="font" val="SansSerif bold 18"/>
</comp>
<comp lib="0" loc="(760,160)" name="Splitter">
<a name="facing" val="south"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="0" loc="(990,820)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="zero"/>
</comp>
<comp lib="1" loc="(730,580)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(70,520)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="zero"/>
</comp>
<comp lib="0" loc="(380,540)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="true"/>
</comp>
<comp lib="0" loc="(240,660)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="irbit4"/>
</comp>
<comp lib="0" loc="(820,630)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="phase"/>
</comp>
<comp lib="0" loc="(120,380)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="true"/>
</comp>
<comp lib="0" loc="(500,110)" name="Constant"/>
<comp lib="0" loc="(180,220)" name="Splitter">
<a name="facing" val="north"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="0" loc="(180,630)" name="Tunnel">
<a name="width" val="2"/>
<a name="label" val="op2"/>
</comp>
<comp lib="0" loc="(820,410)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="pcsel"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(500,150)" name="Constant">
<a name="value" val="0x0"/>
</comp>
<comp lib="0" loc="(120,230)" name="Tunnel">
<a name="facing" val="north"/>
<a name="width" val="2"/>
<a name="label" val="op1"/>
</comp>
<comp lib="6" loc="(750,538)" name="Text">
<a name="text" val="Read/Write"/>
<a name="font" val="SansSerif bold 18"/>
</comp>
<comp lib="0" loc="(70,880)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="two"/>
</comp>
<comp lib="6" loc="(638,796)" name="Text">
<a name="text" val="Dest Reg Write"/>
<a name="font" val="SansSerif bold 18"/>
</comp>
<comp lib="0" loc="(200,530)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="irbit6"/>
</comp>
<comp lib="0" loc="(500,190)" name="Constant"/>
<comp lib="0" loc="(140,260)" name="Tunnel">
<a name="facing" val="north"/>
<a name="width" val="2"/>
<a name="label" val="op2"/>
</comp>
<comp lib="0" loc="(90,160)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="irbit7"/>
</comp>
<comp lib="0" loc="(370,110)" name="Tunnel">
<a name="label" val="true"/>
</comp>
<comp lib="0" loc="(180,260)" name="Tunnel">
<a name="facing" val="north"/>
<a name="width" val="2"/>
<a name="label" val="rs"/>
</comp>
<comp lib="0" loc="(190,670)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="irbit5"/>
</comp>
<comp lib="0" loc="(660,210)" name="Clock">
<a name="facing" val="north"/>
</comp>
<comp lib="0" loc="(350,160)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="rd"/>
</comp>
<comp lib="0" loc="(90,120)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="irbit5"/>
</comp>
<comp lib="1" loc="(290,590)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="3"/>
</comp>
<comp lib="2" loc="(150,840)" name="Multiplexer">
<a name="select" val="4"/>
<a name="width" val="2"/>
<a name="enable" val="false"/>
</comp>
<comp lib="2" loc="(270,840)" name="Multiplexer">
<a name="select" val="2"/>
<a name="width" val="2"/>
<a name="enable" val="false"/>
</comp>
<comp lib="0" loc="(410,660)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="phase"/>
</comp>
<comp lib="2" loc="(790,410)" name="Multiplexer">
<a name="select" val="2"/>
<a name="enable" val="false"/>
</comp>
<comp lib="0" loc="(360,390)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="irbit7"/>
</comp>
<comp lib="0" loc="(740,110)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="2"/>
<a name="label" val="phase"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(70,560)" name="NOT Gate">
<a name="facing" val="south"/>
<a name="size" val="20"/>
</comp>
<comp lib="0" loc="(240,690)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="irbit6"/>
</comp>
</circuit>
</project>

Binary file not shown.

After

Width:  |  Height:  |  Size: 4.2 KiB

@ -0,0 +1,173 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0">
<tool name="Constant">
<a name="value" val="0x0"/>
</tool>
</lib>
<lib desc="#Gates" name="1"/>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4">
<tool name="ROM">
<a name="contents">addr/data: 8 8
0
</a>
</tool>
</lib>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<lib desc="file#/media/DataEXT4/Documents/Logisim/lab18/logicNoChip.circ" name="7"/>
<main name="main"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="main">
<a name="circuit" val="main"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(120,90)" to="(180,90)"/>
<wire from="(150,370)" to="(210,370)"/>
<wire from="(330,300)" to="(380,300)"/>
<wire from="(330,220)" to="(380,220)"/>
<wire from="(330,380)" to="(380,380)"/>
<wire from="(330,340)" to="(380,340)"/>
<wire from="(330,360)" to="(380,360)"/>
<wire from="(330,320)" to="(380,320)"/>
<wire from="(330,280)" to="(380,280)"/>
<wire from="(330,260)" to="(380,260)"/>
<wire from="(330,240)" to="(380,240)"/>
<wire from="(180,90)" to="(230,90)"/>
<wire from="(270,170)" to="(270,200)"/>
<wire from="(310,170)" to="(310,200)"/>
<wire from="(100,110)" to="(100,140)"/>
<wire from="(180,50)" to="(180,90)"/>
<wire from="(250,410)" to="(250,450)"/>
<wire from="(290,410)" to="(290,450)"/>
<wire from="(230,90)" to="(230,200)"/>
<comp lib="0" loc="(290,450)" name="Pin">
<a name="facing" val="north"/>
<a name="output" val="true"/>
<a name="width" val="2"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(380,360)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(380,260)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="tristate" val="false"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(150,370)" name="Pin">
<a name="output" val="true"/>
<a name="width" val="2"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="4" loc="(120,90)" name="Register"/>
<comp lib="0" loc="(380,280)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="2"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(380,240)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="tristate" val="false"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(380,300)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(310,170)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
</comp>
<comp lib="0" loc="(380,340)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(100,140)" name="Clock">
<a name="facing" val="north"/>
</comp>
<comp lib="0" loc="(270,170)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
</comp>
<comp lib="0" loc="(380,320)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="2"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(380,380)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(250,450)" name="Pin">
<a name="facing" val="north"/>
<a name="output" val="true"/>
<a name="width" val="2"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(380,220)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="2"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(180,50)" name="Pin">
<a name="facing" val="south"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="7" loc="(270,240)" name="logicNoChip"/>
</circuit>
</project>