master
Caleb Fontenot 2022-09-10 21:13:01 +07:00
parent 77512e2eea
commit b7134f513d
30 changed files with 1108 additions and 0 deletions

Binary file not shown.

After

Width:  |  Height:  |  Size: 15 KiB

BIN
1.png

Binary file not shown.

After

Width:  |  Height:  |  Size: 9.8 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 15 KiB

@ -0,0 +1,186 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0">
<tool name="Splitter">
<a name="facing" val="north"/>
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
<a name="appear" val="legacy"/>
</tool>
<tool name="Pin">
<a name="facing" val="south"/>
</tool>
</lib>
<lib desc="#Gates" name="1"/>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4">
<tool name="ROM">
<a name="contents">addr/data: 8 8
0
</a>
</tool>
</lib>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<lib desc="file#8-bit adder.circ" name="7"/>
<main name="main"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="main">
<a name="circuit" val="main"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(130,210)" to="(190,210)"/>
<wire from="(170,40)" to="(170,80)"/>
<wire from="(180,190)" to="(200,190)"/>
<wire from="(140,140)" to="(160,140)"/>
<wire from="(60,140)" to="(110,140)"/>
<wire from="(170,240)" to="(170,290)"/>
<wire from="(130,160)" to="(130,210)"/>
<wire from="(90,290)" to="(170,290)"/>
<wire from="(200,230)" to="(200,310)"/>
<wire from="(90,290)" to="(90,310)"/>
<wire from="(50,140)" to="(60,140)"/>
<wire from="(200,190)" to="(200,210)"/>
<wire from="(190,140)" to="(260,140)"/>
<wire from="(120,220)" to="(160,220)"/>
<wire from="(120,100)" to="(160,100)"/>
<wire from="(180,160)" to="(180,190)"/>
<wire from="(170,100)" to="(170,130)"/>
<wire from="(120,160)" to="(120,220)"/>
<wire from="(170,160)" to="(170,220)"/>
<wire from="(120,100)" to="(120,130)"/>
<comp lib="0" loc="(260,140)" name="Pin">
<a name="facing" val="west"/>
<a name="tristate" val="false"/>
</comp>
<comp lib="0" loc="(90,310)" name="Pin">
<a name="facing" val="north"/>
<a name="width" val="16"/>
<a name="tristate" val="false"/>
<a name="label" val="x"/>
<a name="labelloc" val="south"/>
</comp>
<comp lib="0" loc="(170,80)" name="Splitter">
<a name="facing" val="south"/>
<a name="incoming" val="16"/>
<a name="appear" val="legacy"/>
<a name="bit1" val="0"/>
<a name="bit2" val="0"/>
<a name="bit3" val="0"/>
<a name="bit4" val="0"/>
<a name="bit5" val="0"/>
<a name="bit6" val="0"/>
<a name="bit7" val="0"/>
<a name="bit8" val="1"/>
<a name="bit9" val="1"/>
<a name="bit10" val="1"/>
<a name="bit11" val="1"/>
<a name="bit12" val="1"/>
<a name="bit13" val="1"/>
<a name="bit14" val="1"/>
<a name="bit15" val="1"/>
</comp>
<comp lib="7" loc="(110,140)" name="main"/>
<comp lib="0" loc="(170,40)" name="Pin">
<a name="facing" val="south"/>
<a name="output" val="true"/>
<a name="width" val="16"/>
<a name="tristate" val="false"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(60,140)" name="Pin">
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(200,230)" name="Splitter">
<a name="facing" val="north"/>
<a name="incoming" val="16"/>
<a name="appear" val="legacy"/>
<a name="bit1" val="0"/>
<a name="bit2" val="0"/>
<a name="bit3" val="0"/>
<a name="bit4" val="0"/>
<a name="bit5" val="0"/>
<a name="bit6" val="0"/>
<a name="bit7" val="0"/>
<a name="bit8" val="1"/>
<a name="bit9" val="1"/>
<a name="bit10" val="1"/>
<a name="bit11" val="1"/>
<a name="bit12" val="1"/>
<a name="bit13" val="1"/>
<a name="bit14" val="1"/>
<a name="bit15" val="1"/>
</comp>
<comp lib="0" loc="(200,310)" name="Pin">
<a name="facing" val="north"/>
<a name="width" val="16"/>
<a name="tristate" val="false"/>
<a name="label" val="y"/>
<a name="labelloc" val="south"/>
</comp>
<comp lib="0" loc="(170,240)" name="Splitter">
<a name="facing" val="north"/>
<a name="incoming" val="16"/>
<a name="appear" val="legacy"/>
<a name="bit1" val="0"/>
<a name="bit2" val="0"/>
<a name="bit3" val="0"/>
<a name="bit4" val="0"/>
<a name="bit5" val="0"/>
<a name="bit6" val="0"/>
<a name="bit7" val="0"/>
<a name="bit8" val="1"/>
<a name="bit9" val="1"/>
<a name="bit10" val="1"/>
<a name="bit11" val="1"/>
<a name="bit12" val="1"/>
<a name="bit13" val="1"/>
<a name="bit14" val="1"/>
<a name="bit15" val="1"/>
</comp>
<comp lib="7" loc="(160,140)" name="main"/>
</circuit>
</project>

@ -0,0 +1,219 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0">
<tool name="Splitter">
<a name="facing" val="north"/>
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
<a name="appear" val="legacy"/>
</tool>
<tool name="Pin">
<a name="facing" val="south"/>
</tool>
</lib>
<lib desc="#Gates" name="1"/>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4">
<tool name="ROM">
<a name="contents">addr/data: 8 8
0
</a>
</tool>
</lib>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<lib desc="file#Adder.circ" name="7"/>
<main name="main"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="main">
<a name="circuit" val="main"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<appear>
<path d="M56,51 Q60,61 64,51" fill="none" stroke="#808080" stroke-width="2"/>
<rect fill="none" height="30" stroke="#000000" stroke-width="2" width="30" x="50" y="50"/>
<circ-port height="8" pin="590,130" width="8" x="76" y="56"/>
<circ-port height="10" pin="290,40" width="10" x="55" y="45"/>
<circ-port height="8" pin="90,390" width="8" x="56" y="76"/>
<circ-port height="8" pin="180,390" width="8" x="66" y="76"/>
<circ-port height="10" pin="50,130" width="10" x="45" y="55"/>
<circ-anchor facing="west" height="6" width="6" x="47" y="57"/>
</appear>
<wire from="(420,150)" to="(420,220)"/>
<wire from="(290,100)" to="(350,100)"/>
<wire from="(120,240)" to="(120,370)"/>
<wire from="(60,180)" to="(180,180)"/>
<wire from="(210,310)" to="(520,310)"/>
<wire from="(260,60)" to="(260,70)"/>
<wire from="(110,230)" to="(110,370)"/>
<wire from="(290,110)" to="(290,120)"/>
<wire from="(320,60)" to="(320,70)"/>
<wire from="(540,150)" to="(540,240)"/>
<wire from="(300,90)" to="(410,90)"/>
<wire from="(70,190)" to="(240,190)"/>
<wire from="(480,150)" to="(480,230)"/>
<wire from="(100,220)" to="(100,370)"/>
<wire from="(410,90)" to="(410,120)"/>
<wire from="(300,60)" to="(300,90)"/>
<wire from="(230,80)" to="(270,80)"/>
<wire from="(90,210)" to="(90,370)"/>
<wire from="(310,80)" to="(470,80)"/>
<wire from="(80,200)" to="(300,200)"/>
<wire from="(160,150)" to="(160,250)"/>
<wire from="(320,70)" to="(530,70)"/>
<wire from="(80,200)" to="(80,370)"/>
<wire from="(220,150)" to="(220,260)"/>
<wire from="(170,70)" to="(170,120)"/>
<wire from="(90,210)" to="(360,210)"/>
<wire from="(150,250)" to="(160,250)"/>
<wire from="(70,190)" to="(70,370)"/>
<wire from="(110,60)" to="(250,60)"/>
<wire from="(280,60)" to="(280,110)"/>
<wire from="(530,70)" to="(530,120)"/>
<wire from="(280,150)" to="(280,270)"/>
<wire from="(60,180)" to="(60,370)"/>
<wire from="(210,310)" to="(210,370)"/>
<wire from="(100,220)" to="(420,220)"/>
<wire from="(200,300)" to="(200,370)"/>
<wire from="(160,260)" to="(220,260)"/>
<wire from="(340,150)" to="(340,280)"/>
<wire from="(50,170)" to="(50,370)"/>
<wire from="(400,150)" to="(400,290)"/>
<wire from="(100,150)" to="(100,160)"/>
<wire from="(110,230)" to="(480,230)"/>
<wire from="(140,160)" to="(140,370)"/>
<wire from="(170,270)" to="(280,270)"/>
<wire from="(270,60)" to="(270,80)"/>
<wire from="(310,60)" to="(310,80)"/>
<wire from="(550,130)" to="(590,130)"/>
<wire from="(460,150)" to="(460,300)"/>
<wire from="(350,100)" to="(350,120)"/>
<wire from="(190,290)" to="(190,370)"/>
<wire from="(120,150)" to="(120,170)"/>
<wire from="(180,280)" to="(180,370)"/>
<wire from="(50,130)" to="(90,130)"/>
<wire from="(100,160)" to="(140,160)"/>
<wire from="(180,150)" to="(180,180)"/>
<wire from="(120,240)" to="(540,240)"/>
<wire from="(180,280)" to="(340,280)"/>
<wire from="(170,70)" to="(260,70)"/>
<wire from="(170,270)" to="(170,370)"/>
<wire from="(520,150)" to="(520,310)"/>
<wire from="(310,130)" to="(330,130)"/>
<wire from="(370,130)" to="(390,130)"/>
<wire from="(430,130)" to="(450,130)"/>
<wire from="(240,150)" to="(240,190)"/>
<wire from="(490,130)" to="(510,130)"/>
<wire from="(230,80)" to="(230,120)"/>
<wire from="(160,260)" to="(160,370)"/>
<wire from="(290,60)" to="(290,100)"/>
<wire from="(190,290)" to="(400,290)"/>
<wire from="(130,130)" to="(150,130)"/>
<wire from="(190,130)" to="(210,130)"/>
<wire from="(250,130)" to="(270,130)"/>
<wire from="(470,80)" to="(470,120)"/>
<wire from="(280,110)" to="(290,110)"/>
<wire from="(300,150)" to="(300,200)"/>
<wire from="(360,150)" to="(360,210)"/>
<wire from="(50,170)" to="(120,170)"/>
<wire from="(150,250)" to="(150,370)"/>
<wire from="(110,60)" to="(110,120)"/>
<wire from="(200,300)" to="(460,300)"/>
<comp lib="0" loc="(290,40)" name="Splitter">
<a name="facing" val="south"/>
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="7" loc="(170,120)" name="main"/>
<comp lib="0" loc="(50,130)" name="Pin">
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="7" loc="(410,120)" name="main"/>
<comp lib="7" loc="(350,120)" name="main"/>
<comp lib="0" loc="(180,390)" name="Splitter">
<a name="facing" val="north"/>
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="7" loc="(230,120)" name="main"/>
<comp lib="0" loc="(290,40)" name="Pin">
<a name="facing" val="south"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(590,130)" name="Pin">
<a name="facing" val="west"/>
<a name="tristate" val="false"/>
</comp>
<comp lib="7" loc="(530,120)" name="main"/>
<comp lib="7" loc="(470,120)" name="main"/>
<comp lib="0" loc="(90,390)" name="Splitter">
<a name="facing" val="north"/>
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="0" loc="(90,390)" name="Pin">
<a name="facing" val="north"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="label" val="y"/>
<a name="labelloc" val="south"/>
</comp>
<comp lib="0" loc="(180,390)" name="Pin">
<a name="facing" val="north"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="label" val="x"/>
<a name="labelloc" val="south"/>
</comp>
<comp lib="7" loc="(110,120)" name="main"/>
<comp lib="7" loc="(290,120)" name="main"/>
</circuit>
</project>

@ -0,0 +1,259 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0">
<tool name="Splitter">
<a name="facing" val="north"/>
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
<a name="appear" val="legacy"/>
</tool>
<tool name="Pin">
<a name="facing" val="south"/>
</tool>
</lib>
<lib desc="#Gates" name="1"/>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4">
<tool name="ROM">
<a name="contents">addr/data: 8 8
0
</a>
</tool>
</lib>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<main name="main"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="main">
<a name="circuit" val="main"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<appear>
<path d="M66,52 Q70,62 74,52" fill="none" stroke="#808080" stroke-width="2"/>
<rect fill="none" height="30" stroke="#000000" stroke-width="2" width="40" x="50" y="50"/>
<circ-port height="8" pin="50,60" width="8" x="56" y="76"/>
<circ-port height="8" pin="80,60" width="8" x="76" y="76"/>
<circ-port height="8" pin="110,60" width="8" x="86" y="56"/>
<circ-port height="10" pin="580,180" width="10" x="65" y="45"/>
<circ-port height="10" pin="580,210" width="10" x="45" y="55"/>
<circ-anchor facing="north" height="6" width="6" x="67" y="47"/>
</appear>
<wire from="(390,400)" to="(450,400)"/>
<wire from="(390,380)" to="(450,380)"/>
<wire from="(80,110)" to="(140,110)"/>
<wire from="(80,250)" to="(330,250)"/>
<wire from="(80,410)" to="(330,410)"/>
<wire from="(80,310)" to="(330,310)"/>
<wire from="(400,160)" to="(450,160)"/>
<wire from="(390,190)" to="(390,200)"/>
<wire from="(390,150)" to="(390,170)"/>
<wire from="(80,160)" to="(320,160)"/>
<wire from="(80,460)" to="(80,480)"/>
<wire from="(180,140)" to="(180,170)"/>
<wire from="(410,200)" to="(450,200)"/>
<wire from="(50,80)" to="(50,180)"/>
<wire from="(240,130)" to="(330,130)"/>
<wire from="(110,270)" to="(330,270)"/>
<wire from="(110,330)" to="(330,330)"/>
<wire from="(80,310)" to="(80,410)"/>
<wire from="(110,380)" to="(110,480)"/>
<wire from="(240,290)" to="(330,290)"/>
<wire from="(50,80)" to="(140,80)"/>
<wire from="(380,460)" to="(410,460)"/>
<wire from="(50,180)" to="(330,180)"/>
<wire from="(180,220)" to="(330,220)"/>
<wire from="(380,310)" to="(400,310)"/>
<wire from="(50,440)" to="(330,440)"/>
<wire from="(50,340)" to="(330,340)"/>
<wire from="(210,360)" to="(210,470)"/>
<wire from="(240,80)" to="(240,130)"/>
<wire from="(50,390)" to="(50,440)"/>
<wire from="(80,60)" to="(80,110)"/>
<wire from="(380,200)" to="(390,200)"/>
<wire from="(380,360)" to="(390,360)"/>
<wire from="(110,330)" to="(110,380)"/>
<wire from="(410,410)" to="(410,460)"/>
<wire from="(500,180)" to="(580,180)"/>
<wire from="(170,80)" to="(240,80)"/>
<wire from="(400,100)" to="(400,160)"/>
<wire from="(570,210)" to="(570,390)"/>
<wire from="(110,270)" to="(110,330)"/>
<wire from="(500,390)" to="(570,390)"/>
<wire from="(570,210)" to="(580,210)"/>
<wire from="(390,190)" to="(450,190)"/>
<wire from="(390,170)" to="(450,170)"/>
<wire from="(110,140)" to="(110,270)"/>
<wire from="(80,460)" to="(330,460)"/>
<wire from="(400,370)" to="(450,370)"/>
<wire from="(210,100)" to="(210,110)"/>
<wire from="(210,100)" to="(330,100)"/>
<wire from="(210,200)" to="(330,200)"/>
<wire from="(210,360)" to="(330,360)"/>
<wire from="(390,400)" to="(390,410)"/>
<wire from="(320,150)" to="(320,160)"/>
<wire from="(180,220)" to="(180,430)"/>
<wire from="(390,360)" to="(390,380)"/>
<wire from="(50,60)" to="(50,80)"/>
<wire from="(110,120)" to="(110,140)"/>
<wire from="(210,110)" to="(210,200)"/>
<wire from="(170,110)" to="(210,110)"/>
<wire from="(80,160)" to="(80,250)"/>
<wire from="(410,410)" to="(450,410)"/>
<wire from="(110,140)" to="(140,140)"/>
<wire from="(210,200)" to="(210,360)"/>
<wire from="(240,130)" to="(240,290)"/>
<wire from="(240,80)" to="(330,80)"/>
<wire from="(110,120)" to="(330,120)"/>
<wire from="(110,380)" to="(330,380)"/>
<wire from="(110,480)" to="(330,480)"/>
<wire from="(380,250)" to="(410,250)"/>
<wire from="(180,170)" to="(330,170)"/>
<wire from="(50,440)" to="(50,480)"/>
<wire from="(380,100)" to="(400,100)"/>
<wire from="(50,230)" to="(330,230)"/>
<wire from="(50,390)" to="(330,390)"/>
<wire from="(180,430)" to="(330,430)"/>
<wire from="(180,430)" to="(180,470)"/>
<wire from="(50,230)" to="(50,340)"/>
<wire from="(180,170)" to="(180,220)"/>
<wire from="(320,150)" to="(330,150)"/>
<wire from="(50,180)" to="(50,230)"/>
<wire from="(50,340)" to="(50,390)"/>
<wire from="(380,150)" to="(390,150)"/>
<wire from="(80,110)" to="(80,160)"/>
<wire from="(380,410)" to="(390,410)"/>
<wire from="(80,410)" to="(80,460)"/>
<wire from="(170,140)" to="(180,140)"/>
<wire from="(410,200)" to="(410,250)"/>
<wire from="(240,290)" to="(240,470)"/>
<wire from="(400,310)" to="(400,370)"/>
<wire from="(110,60)" to="(110,120)"/>
<wire from="(80,250)" to="(80,310)"/>
<comp lib="1" loc="(380,250)" name="AND Gate">
<a name="inputs" val="3"/>
<a name="label" val="xyz"/>
</comp>
<comp lib="1" loc="(380,410)" name="AND Gate">
<a name="inputs" val="3"/>
<a name="label" val="xyZ"/>
</comp>
<comp lib="0" loc="(580,180)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="F1"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="6" loc="(104,71)" name="Text"/>
<comp lib="0" loc="(580,210)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="F2"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="6" loc="(264,56)" name="Text"/>
<comp lib="0" loc="(50,60)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="x"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="0" loc="(110,60)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="z"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="6" loc="(124,18)" name="Text"/>
<comp lib="1" loc="(380,150)" name="AND Gate">
<a name="inputs" val="3"/>
<a name="label" val="xYz"/>
</comp>
<comp lib="1" loc="(380,310)" name="AND Gate">
<a name="inputs" val="3"/>
<a name="label" val="Xyz"/>
</comp>
<comp lib="1" loc="(380,200)" name="AND Gate">
<a name="inputs" val="3"/>
<a name="label" val="xYZ"/>
</comp>
<comp lib="1" loc="(170,110)" name="NOT Gate">
<a name="label" val="Not Y"/>
</comp>
<comp lib="6" loc="(394,19)" name="Text">
<a name="text" val="Caleb Fontenot, Lab full adder"/>
</comp>
<comp lib="0" loc="(80,60)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="y"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(500,180)" name="OR Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="6" loc="(153,45)" name="Text"/>
<comp lib="1" loc="(380,460)" name="AND Gate">
<a name="inputs" val="3"/>
<a name="label" val="xyz"/>
</comp>
<comp lib="1" loc="(170,140)" name="NOT Gate">
<a name="label" val="Not Z"/>
</comp>
<comp lib="1" loc="(380,100)" name="AND Gate">
<a name="inputs" val="3"/>
<a name="label" val="XYz"/>
</comp>
<comp lib="1" loc="(170,80)" name="NOT Gate">
<a name="label" val="Not X"/>
</comp>
<comp lib="1" loc="(500,390)" name="OR Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="1" loc="(380,360)" name="AND Gate">
<a name="inputs" val="3"/>
<a name="label" val="xYz"/>
</comp>
</circuit>
</project>

@ -0,0 +1,192 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0"/>
<lib desc="#Gates" name="1"/>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4">
<tool name="ROM">
<a name="contents">addr/data: 8 8
0
</a>
</tool>
</lib>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<main name="main"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="main">
<a name="circuit" val="main"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(140,150)" to="(140,220)"/>
<wire from="(140,220)" to="(140,290)"/>
<wire from="(140,290)" to="(140,360)"/>
<wire from="(140,390)" to="(140,460)"/>
<wire from="(140,460)" to="(140,530)"/>
<wire from="(200,190)" to="(200,260)"/>
<wire from="(200,260)" to="(200,330)"/>
<wire from="(200,430)" to="(200,500)"/>
<wire from="(200,500)" to="(200,570)"/>
<wire from="(140,360)" to="(320,360)"/>
<wire from="(340,170)" to="(440,170)"/>
<wire from="(340,240)" to="(440,240)"/>
<wire from="(140,360)" to="(140,390)"/>
<wire from="(350,360)" to="(440,360)"/>
<wire from="(350,410)" to="(440,410)"/>
<wire from="(350,550)" to="(440,550)"/>
<wire from="(350,310)" to="(440,310)"/>
<wire from="(350,480)" to="(440,480)"/>
<wire from="(200,190)" to="(290,190)"/>
<wire from="(200,260)" to="(290,260)"/>
<wire from="(200,330)" to="(290,330)"/>
<wire from="(200,430)" to="(290,430)"/>
<wire from="(200,500)" to="(290,500)"/>
<wire from="(200,330)" to="(200,430)"/>
<wire from="(140,150)" to="(290,150)"/>
<wire from="(140,220)" to="(290,220)"/>
<wire from="(140,290)" to="(290,290)"/>
<wire from="(140,390)" to="(290,390)"/>
<wire from="(140,460)" to="(290,460)"/>
<wire from="(60,90)" to="(140,90)"/>
<wire from="(200,570)" to="(280,570)"/>
<wire from="(60,130)" to="(200,130)"/>
<wire from="(140,530)" to="(280,530)"/>
<wire from="(140,90)" to="(140,150)"/>
<wire from="(200,130)" to="(200,190)"/>
<comp lib="0" loc="(440,170)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="x &amp;&amp; y"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(200,70)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="y"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(340,240)" name="OR Gate">
<a name="inputs" val="2"/>
<a name="label" val="OR"/>
</comp>
<comp lib="0" loc="(440,240)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="x || y"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(60,130)" name="Clock">
<a name="highDuration" val="2"/>
<a name="lowDuration" val="2"/>
<a name="label" val="Clock y"/>
</comp>
<comp lib="0" loc="(440,360)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="!x"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(350,310)" name="XOR Gate">
<a name="inputs" val="2"/>
<a name="label" val="XOR"/>
</comp>
<comp lib="0" loc="(440,310)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="x ^ y"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(440,480)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="!(x || y)"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="6" loc="(254,52)" name="Text"/>
<comp lib="6" loc="(346,102)" name="Text">
<a name="text" val="Caleb Fontenot"/>
</comp>
<comp lib="1" loc="(350,360)" name="NOT Gate">
<a name="label" val="NOT"/>
</comp>
<comp lib="0" loc="(440,410)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="!(x &amp;&amp; y)"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(440,550)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="label" val="!( ^ y)"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(350,550)" name="XNOR Gate">
<a name="inputs" val="2"/>
<a name="label" val="XNOR"/>
</comp>
<comp lib="0" loc="(60,90)" name="Clock">
<a name="label" val="Clock x"/>
</comp>
<comp lib="0" loc="(140,70)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="x"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(340,170)" name="AND Gate">
<a name="inputs" val="2"/>
<a name="label" val="AND"/>
</comp>
<comp lib="1" loc="(350,410)" name="NAND Gate">
<a name="inputs" val="2"/>
<a name="label" val="NAND"/>
</comp>
<comp lib="1" loc="(350,480)" name="NOR Gate">
<a name="inputs" val="2"/>
<a name="label" val="XOR"/>
</comp>
</circuit>
</project>

Binary file not shown.

After

Width:  |  Height:  |  Size: 10 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 12 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 4.4 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 4.8 KiB

Binary file not shown.

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 16 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 17 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 18 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 18 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 18 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 18 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 18 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 16 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 17 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 18 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 18 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 17 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 18 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 18 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 17 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 16 KiB

@ -0,0 +1,252 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0">
<tool name="Splitter">
<a name="facing" val="north"/>
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
<a name="appear" val="legacy"/>
</tool>
<tool name="Pin">
<a name="facing" val="south"/>
</tool>
</lib>
<lib desc="#Gates" name="1">
<tool name="NOT Gate">
<a name="facing" val="south"/>
</tool>
</lib>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4"/>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<main name="panama_canal"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="panama_canal">
<a name="circuit" val="panama_canal"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(460,410)" to="(520,410)"/>
<wire from="(240,550)" to="(240,620)"/>
<wire from="(200,220)" to="(320,220)"/>
<wire from="(200,340)" to="(320,340)"/>
<wire from="(140,350)" to="(320,350)"/>
<wire from="(440,390)" to="(440,480)"/>
<wire from="(450,240)" to="(450,340)"/>
<wire from="(160,310)" to="(320,310)"/>
<wire from="(240,80)" to="(240,120)"/>
<wire from="(120,100)" to="(200,100)"/>
<wire from="(120,520)" to="(320,520)"/>
<wire from="(450,400)" to="(520,400)"/>
<wire from="(120,520)" to="(120,580)"/>
<wire from="(370,480)" to="(440,480)"/>
<wire from="(200,160)" to="(200,220)"/>
<wire from="(200,280)" to="(200,340)"/>
<wire from="(260,380)" to="(320,380)"/>
<wire from="(260,500)" to="(320,500)"/>
<wire from="(260,620)" to="(320,620)"/>
<wire from="(160,610)" to="(160,620)"/>
<wire from="(450,400)" to="(450,540)"/>
<wire from="(570,370)" to="(630,370)"/>
<wire from="(470,180)" to="(470,330)"/>
<wire from="(220,150)" to="(220,170)"/>
<wire from="(370,180)" to="(470,180)"/>
<wire from="(160,50)" to="(160,80)"/>
<wire from="(220,590)" to="(220,620)"/>
<wire from="(220,230)" to="(320,230)"/>
<wire from="(220,470)" to="(320,470)"/>
<wire from="(220,590)" to="(320,590)"/>
<wire from="(260,70)" to="(260,120)"/>
<wire from="(140,90)" to="(220,90)"/>
<wire from="(120,50)" to="(120,100)"/>
<wire from="(240,190)" to="(320,190)"/>
<wire from="(240,430)" to="(320,430)"/>
<wire from="(240,550)" to="(320,550)"/>
<wire from="(370,240)" to="(450,240)"/>
<wire from="(440,390)" to="(520,390)"/>
<wire from="(260,150)" to="(260,200)"/>
<wire from="(440,350)" to="(520,350)"/>
<wire from="(180,440)" to="(320,440)"/>
<wire from="(220,290)" to="(220,470)"/>
<wire from="(180,560)" to="(320,560)"/>
<wire from="(460,410)" to="(460,600)"/>
<wire from="(260,380)" to="(260,500)"/>
<wire from="(260,500)" to="(260,620)"/>
<wire from="(140,350)" to="(140,410)"/>
<wire from="(220,230)" to="(220,290)"/>
<wire from="(240,370)" to="(240,430)"/>
<wire from="(220,470)" to="(220,530)"/>
<wire from="(240,490)" to="(240,550)"/>
<wire from="(370,420)" to="(430,420)"/>
<wire from="(470,330)" to="(520,330)"/>
<wire from="(200,160)" to="(320,160)"/>
<wire from="(200,280)" to="(320,280)"/>
<wire from="(200,400)" to="(320,400)"/>
<wire from="(140,410)" to="(320,410)"/>
<wire from="(140,410)" to="(140,620)"/>
<wire from="(200,100)" to="(200,120)"/>
<wire from="(430,380)" to="(520,380)"/>
<wire from="(160,610)" to="(320,610)"/>
<wire from="(370,600)" to="(460,600)"/>
<wire from="(120,580)" to="(120,620)"/>
<wire from="(160,80)" to="(240,80)"/>
<wire from="(440,300)" to="(440,350)"/>
<wire from="(180,260)" to="(180,440)"/>
<wire from="(260,320)" to="(260,380)"/>
<wire from="(120,460)" to="(320,460)"/>
<wire from="(120,580)" to="(320,580)"/>
<wire from="(240,250)" to="(240,370)"/>
<wire from="(450,340)" to="(520,340)"/>
<wire from="(120,460)" to="(120,520)"/>
<wire from="(180,560)" to="(180,620)"/>
<wire from="(370,300)" to="(440,300)"/>
<wire from="(200,220)" to="(200,280)"/>
<wire from="(200,340)" to="(200,400)"/>
<wire from="(260,200)" to="(320,200)"/>
<wire from="(260,320)" to="(320,320)"/>
<wire from="(140,90)" to="(140,350)"/>
<wire from="(200,150)" to="(200,160)"/>
<wire from="(180,50)" to="(180,70)"/>
<wire from="(220,90)" to="(220,120)"/>
<wire from="(220,170)" to="(320,170)"/>
<wire from="(220,290)" to="(320,290)"/>
<wire from="(200,400)" to="(200,620)"/>
<wire from="(220,530)" to="(320,530)"/>
<wire from="(160,80)" to="(160,310)"/>
<wire from="(120,100)" to="(120,460)"/>
<wire from="(140,50)" to="(140,90)"/>
<wire from="(240,150)" to="(240,190)"/>
<wire from="(430,380)" to="(430,420)"/>
<wire from="(160,310)" to="(160,610)"/>
<wire from="(370,360)" to="(520,360)"/>
<wire from="(180,70)" to="(260,70)"/>
<wire from="(240,250)" to="(320,250)"/>
<wire from="(240,370)" to="(320,370)"/>
<wire from="(240,490)" to="(320,490)"/>
<wire from="(370,540)" to="(450,540)"/>
<wire from="(180,260)" to="(320,260)"/>
<wire from="(180,440)" to="(180,560)"/>
<wire from="(180,70)" to="(180,260)"/>
<wire from="(260,200)" to="(260,320)"/>
<wire from="(220,170)" to="(220,230)"/>
<wire from="(240,190)" to="(240,250)"/>
<wire from="(240,430)" to="(240,490)"/>
<wire from="(220,530)" to="(220,590)"/>
<comp lib="1" loc="(370,420)" name="AND Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="1" loc="(220,150)" name="NOT Gate">
<a name="facing" val="south"/>
<a name="label" val="!y"/>
</comp>
<comp lib="1" loc="(570,370)" name="OR Gate">
<a name="inputs" val="8"/>
</comp>
<comp lib="0" loc="(180,50)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="w"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(370,300)" name="AND Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="1" loc="(370,240)" name="AND Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="0" loc="(630,370)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="tristate" val="false"/>
<a name="label" val="Gates can open"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(120,50)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="x"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(240,150)" name="NOT Gate">
<a name="facing" val="south"/>
<a name="label" val="!z"/>
</comp>
<comp lib="1" loc="(370,540)" name="AND Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="0" loc="(140,50)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="y"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(260,150)" name="NOT Gate">
<a name="facing" val="south"/>
<a name="label" val="!w"/>
</comp>
<comp lib="1" loc="(370,360)" name="AND Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="1" loc="(200,150)" name="NOT Gate">
<a name="facing" val="south"/>
<a name="label" val="!x"/>
</comp>
<comp lib="1" loc="(370,180)" name="AND Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="1" loc="(370,600)" name="AND Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="0" loc="(160,50)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="z"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(370,480)" name="AND Gate">
<a name="inputs" val="4"/>
</comp>
</circuit>
</project>