Logisim/AND-OR-8-bit.circ

104 lines
3.3 KiB
XML

<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0"/>
<lib desc="#Gates" name="1"/>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4">
<tool name="ROM">
<a name="contents">addr/data: 8 8
0
</a>
</tool>
</lib>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<lib desc="file#OR8bit.circ" name="7"/>
<lib desc="file#AND8bit.circ" name="8"/>
<main name="main"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="main">
<a name="circuit" val="main"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(300,170)" to="(300,270)"/>
<wire from="(310,190)" to="(310,290)"/>
<wire from="(310,290)" to="(370,290)"/>
<wire from="(310,190)" to="(370,190)"/>
<wire from="(410,180)" to="(470,180)"/>
<wire from="(410,280)" to="(470,280)"/>
<wire from="(250,290)" to="(310,290)"/>
<wire from="(410,280)" to="(410,290)"/>
<wire from="(250,170)" to="(300,170)"/>
<wire from="(300,270)" to="(370,270)"/>
<wire from="(300,170)" to="(370,170)"/>
<comp lib="7" loc="(390,270)" name="OR8bit"/>
<comp lib="0" loc="(470,180)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="label" val="result"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(250,290)" name="Pin">
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="label" val="b"/>
</comp>
<comp lib="8" loc="(390,170)" name="AND8bit"/>
<comp lib="0" loc="(250,170)" name="Pin">
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="label" val="a"/>
</comp>
<comp lib="0" loc="(470,280)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="label" val="result"/>
<a name="labelloc" val="east"/>
</comp>
</circuit>
</project>