Logisim/lab17/ProgramCounter.circ

131 lines
4.6 KiB
XML

<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0"/>
<lib desc="#Gates" name="1"/>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4"/>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<main name="programCounter"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="programCounter">
<a name="circuit" val="programCounter"/>
<a name="clabel" val="programCounter"/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<appear>
<rect fill="none" height="40" stroke="#000000" stroke-width="2" width="30" x="50" y="60"/>
<circ-port height="8" pin="170,160" width="8" x="46" y="76"/>
<circ-port height="10" pin="390,200" width="10" x="75" y="75"/>
<circ-anchor facing="east" height="6" width="6" x="57" y="67"/>
</appear>
<wire from="(300,200)" to="(390,200)"/>
<wire from="(160,260)" to="(220,260)"/>
<wire from="(120,230)" to="(140,230)"/>
<wire from="(120,250)" to="(140,250)"/>
<wire from="(120,270)" to="(140,270)"/>
<wire from="(120,290)" to="(140,290)"/>
<wire from="(240,190)" to="(260,190)"/>
<wire from="(90,220)" to="(140,220)"/>
<wire from="(90,240)" to="(140,240)"/>
<wire from="(90,260)" to="(140,260)"/>
<wire from="(90,280)" to="(140,280)"/>
<wire from="(220,210)" to="(220,260)"/>
<wire from="(170,160)" to="(240,160)"/>
<wire from="(280,120)" to="(280,180)"/>
<wire from="(220,210)" to="(260,210)"/>
<wire from="(240,160)" to="(240,190)"/>
<comp lib="3" loc="(300,200)" name="Adder"/>
<comp lib="0" loc="(120,250)" name="Constant">
<a name="value" val="0x0"/>
</comp>
<comp lib="0" loc="(280,120)" name="Constant">
<a name="facing" val="south"/>
<a name="value" val="0x0"/>
</comp>
<comp lib="0" loc="(170,160)" name="Pin">
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="label" val="Address of current instruction in memory"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="6" loc="(184,65)" name="Text"/>
<comp lib="0" loc="(390,200)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="label" val="Next Instruction of program in memory"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="0" loc="(90,220)" name="Constant"/>
<comp lib="0" loc="(120,290)" name="Constant">
<a name="value" val="0x0"/>
</comp>
<comp lib="6" loc="(135,71)" name="Text"/>
<comp lib="0" loc="(90,280)" name="Constant">
<a name="value" val="0x0"/>
</comp>
<comp lib="0" loc="(160,260)" name="Splitter">
<a name="facing" val="west"/>
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
<a name="appear" val="center"/>
</comp>
<comp lib="0" loc="(90,260)" name="Constant">
<a name="value" val="0x0"/>
</comp>
<comp lib="6" loc="(187,70)" name="Text">
<a name="text" val="Program Counter - Caleb Fontenot"/>
</comp>
<comp lib="0" loc="(120,230)" name="Constant">
<a name="value" val="0x0"/>
</comp>
<comp lib="0" loc="(90,240)" name="Constant">
<a name="value" val="0x0"/>
</comp>
<comp lib="0" loc="(120,270)" name="Constant">
<a name="value" val="0x0"/>
</comp>
</circuit>
</project>