Work on lab9

master
Chloe Fontenot 🏳️‍⚧️ 2022-09-11 13:19:11 +07:00
parent b7134f513d
commit 51e11e69cd
5 changed files with 405 additions and 0 deletions

@ -0,0 +1,270 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0"/>
<lib desc="#Gates" name="1">
<tool name="NOT Gate">
<a name="facing" val="south"/>
</tool>
</lib>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4"/>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<main name="main"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="main">
<a name="circuit" val="main"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(120,100)" to="(240,100)"/>
<wire from="(30,430)" to="(30,440)"/>
<wire from="(680,300)" to="(680,710)"/>
<wire from="(120,780)" to="(290,780)"/>
<wire from="(60,750)" to="(290,750)"/>
<wire from="(380,230)" to="(420,230)"/>
<wire from="(210,520)" to="(210,620)"/>
<wire from="(240,630)" to="(240,730)"/>
<wire from="(340,610)" to="(360,610)"/>
<wire from="(340,810)" to="(360,810)"/>
<wire from="(150,590)" to="(150,640)"/>
<wire from="(350,720)" to="(490,720)"/>
<wire from="(210,670)" to="(290,670)"/>
<wire from="(60,700)" to="(60,750)"/>
<wire from="(90,770)" to="(90,820)"/>
<wire from="(340,660)" to="(350,660)"/>
<wire from="(150,640)" to="(290,640)"/>
<wire from="(90,770)" to="(290,770)"/>
<wire from="(420,250)" to="(490,250)"/>
<wire from="(420,290)" to="(490,290)"/>
<wire from="(540,710)" to="(680,710)"/>
<wire from="(150,70)" to="(150,130)"/>
<wire from="(90,60)" to="(90,320)"/>
<wire from="(90,460)" to="(90,720)"/>
<wire from="(60,450)" to="(180,450)"/>
<wire from="(120,470)" to="(240,470)"/>
<wire from="(240,730)" to="(290,730)"/>
<wire from="(150,210)" to="(330,210)"/>
<wire from="(150,740)" to="(150,880)"/>
<wire from="(210,670)" to="(210,880)"/>
<wire from="(420,230)" to="(420,250)"/>
<wire from="(60,430)" to="(60,450)"/>
<wire from="(60,450)" to="(60,600)"/>
<wire from="(30,790)" to="(30,880)"/>
<wire from="(60,600)" to="(290,600)"/>
<wire from="(60,800)" to="(290,800)"/>
<wire from="(380,320)" to="(420,320)"/>
<wire from="(210,460)" to="(210,490)"/>
<wire from="(540,270)" to="(690,270)"/>
<wire from="(240,340)" to="(330,340)"/>
<wire from="(300,250)" to="(330,250)"/>
<wire from="(120,680)" to="(120,780)"/>
<wire from="(240,520)" to="(240,630)"/>
<wire from="(350,720)" to="(350,760)"/>
<wire from="(340,710)" to="(490,710)"/>
<wire from="(150,160)" to="(150,210)"/>
<wire from="(150,440)" to="(150,490)"/>
<wire from="(150,640)" to="(150,690)"/>
<wire from="(210,620)" to="(210,670)"/>
<wire from="(60,750)" to="(60,800)"/>
<wire from="(150,690)" to="(290,690)"/>
<wire from="(90,820)" to="(290,820)"/>
<wire from="(30,790)" to="(290,790)"/>
<wire from="(90,820)" to="(90,880)"/>
<wire from="(150,520)" to="(150,590)"/>
<wire from="(30,70)" to="(150,70)"/>
<wire from="(120,470)" to="(120,680)"/>
<wire from="(120,680)" to="(290,680)"/>
<wire from="(360,610)" to="(360,690)"/>
<wire from="(360,730)" to="(360,810)"/>
<wire from="(60,650)" to="(290,650)"/>
<wire from="(240,100)" to="(240,130)"/>
<wire from="(30,440)" to="(30,790)"/>
<wire from="(90,430)" to="(90,460)"/>
<wire from="(300,300)" to="(330,300)"/>
<wire from="(240,730)" to="(240,830)"/>
<wire from="(120,60)" to="(120,100)"/>
<wire from="(150,690)" to="(150,740)"/>
<wire from="(350,700)" to="(490,700)"/>
<wire from="(60,600)" to="(60,650)"/>
<wire from="(340,760)" to="(350,760)"/>
<wire from="(150,740)" to="(290,740)"/>
<wire from="(240,160)" to="(240,340)"/>
<wire from="(680,300)" to="(690,300)"/>
<wire from="(60,60)" to="(60,250)"/>
<wire from="(30,440)" to="(150,440)"/>
<wire from="(90,460)" to="(210,460)"/>
<wire from="(30,60)" to="(30,70)"/>
<wire from="(240,830)" to="(290,830)"/>
<wire from="(240,630)" to="(290,630)"/>
<wire from="(60,250)" to="(300,250)"/>
<wire from="(90,320)" to="(330,320)"/>
<wire from="(60,800)" to="(60,880)"/>
<wire from="(240,470)" to="(240,490)"/>
<wire from="(420,290)" to="(420,320)"/>
<wire from="(60,700)" to="(290,700)"/>
<wire from="(120,780)" to="(120,880)"/>
<wire from="(180,450)" to="(180,490)"/>
<wire from="(120,430)" to="(120,470)"/>
<wire from="(180,520)" to="(180,880)"/>
<wire from="(350,660)" to="(350,700)"/>
<wire from="(210,620)" to="(290,620)"/>
<wire from="(60,650)" to="(60,700)"/>
<wire from="(90,720)" to="(90,770)"/>
<wire from="(300,250)" to="(300,300)"/>
<wire from="(150,590)" to="(290,590)"/>
<wire from="(240,830)" to="(240,880)"/>
<wire from="(90,720)" to="(290,720)"/>
<wire from="(360,690)" to="(490,690)"/>
<wire from="(360,730)" to="(490,730)"/>
<comp lib="0" loc="(690,270)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="tristate" val="false"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(30,430)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="d"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(180,520)" name="NOT Gate">
<a name="facing" val="south"/>
<a name="label" val="!k"/>
</comp>
<comp lib="0" loc="(30,60)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="d"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(340,660)" name="AND Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="0" loc="(690,300)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(60,60)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="k"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(150,520)" name="NOT Gate">
<a name="facing" val="south"/>
<a name="label" val="!d"/>
</comp>
<comp lib="0" loc="(120,60)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="b"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(540,270)" name="OR Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(60,430)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="k"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(210,520)" name="NOT Gate">
<a name="facing" val="south"/>
<a name="label" val="!s"/>
</comp>
<comp lib="1" loc="(380,320)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(120,430)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="b"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(240,160)" name="NOT Gate">
<a name="facing" val="south"/>
<a name="label" val="!b"/>
</comp>
<comp lib="0" loc="(90,430)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="s"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(240,520)" name="NOT Gate">
<a name="facing" val="south"/>
<a name="label" val="!b"/>
</comp>
<comp lib="1" loc="(340,610)" name="AND Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="1" loc="(340,710)" name="AND Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="1" loc="(150,160)" name="NOT Gate">
<a name="facing" val="south"/>
<a name="label" val="!d"/>
</comp>
<comp lib="1" loc="(540,710)" name="OR Gate"/>
<comp lib="0" loc="(90,60)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="s"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(340,810)" name="AND Gate">
<a name="inputs" val="4"/>
</comp>
<comp lib="1" loc="(380,230)" name="AND Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(340,760)" name="AND Gate">
<a name="inputs" val="4"/>
</comp>
</circuit>
</project>

Binary file not shown.

After

Width:  |  Height:  |  Size: 21 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 20 KiB

@ -0,0 +1,135 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0"/>
<lib desc="#Gates" name="1">
<tool name="NOT Gate">
<a name="label" val="A"/>
</tool>
<tool name="AND Gate">
<a name="inputs" val="3"/>
</tool>
</lib>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4"/>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<main name="main"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="facing" val="north"/>
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="main">
<a name="circuit" val="main"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(170,170)" to="(170,300)"/>
<wire from="(170,300)" to="(170,690)"/>
<wire from="(170,300)" to="(290,300)"/>
<wire from="(110,360)" to="(110,690)"/>
<wire from="(110,260)" to="(290,260)"/>
<wire from="(110,360)" to="(290,360)"/>
<wire from="(200,230)" to="(200,690)"/>
<wire from="(230,230)" to="(230,690)"/>
<wire from="(110,130)" to="(110,150)"/>
<wire from="(260,170)" to="(260,200)"/>
<wire from="(140,130)" to="(140,160)"/>
<wire from="(140,340)" to="(140,690)"/>
<wire from="(260,230)" to="(260,320)"/>
<wire from="(140,160)" to="(230,160)"/>
<wire from="(170,170)" to="(260,170)"/>
<wire from="(110,260)" to="(110,360)"/>
<wire from="(260,320)" to="(290,320)"/>
<wire from="(110,150)" to="(200,150)"/>
<wire from="(140,280)" to="(290,280)"/>
<wire from="(140,340)" to="(290,340)"/>
<wire from="(170,130)" to="(170,170)"/>
<wire from="(230,160)" to="(230,200)"/>
<wire from="(110,150)" to="(110,260)"/>
<wire from="(200,150)" to="(200,200)"/>
<wire from="(260,320)" to="(260,690)"/>
<wire from="(140,160)" to="(140,280)"/>
<wire from="(140,280)" to="(140,340)"/>
<comp lib="1" loc="(340,400)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="1" loc="(230,230)" name="NOT Gate">
<a name="facing" val="south"/>
<a name="label" val="!B"/>
</comp>
<comp lib="1" loc="(340,280)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="1" loc="(340,340)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="0" loc="(110,130)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="A"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(340,460)" name="AND Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="1" loc="(260,230)" name="NOT Gate">
<a name="facing" val="south"/>
<a name="label" val="!C"/>
</comp>
<comp lib="0" loc="(170,130)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="C"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="0" loc="(140,130)" name="Pin">
<a name="facing" val="south"/>
<a name="tristate" val="false"/>
<a name="label" val="B"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="1" loc="(200,230)" name="NOT Gate">
<a name="facing" val="south"/>
<a name="label" val="!A"/>
</comp>
</circuit>
</project>

Binary file not shown.