master
Caleb Fontenot 2022-09-14 08:41:26 +07:00
parent 1e800418a8
commit 7e7cc43c35
5 changed files with 515 additions and 0 deletions

Binary file not shown.

After

Width:  |  Height:  |  Size: 3.3 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 3.4 KiB

@ -0,0 +1,103 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0"/>
<lib desc="#Gates" name="1"/>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4">
<tool name="ROM">
<a name="contents">addr/data: 8 8
0
</a>
</tool>
</lib>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<lib desc="file#/media/DataEXT4/Documents/Logisim/lab9/AND8bit.circ" name="7"/>
<lib desc="file#/media/DataEXT4/Documents/Logisim/lab9/OR8bit.circ" name="8"/>
<main name="main"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="main">
<a name="circuit" val="main"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(300,170)" to="(300,270)"/>
<wire from="(310,190)" to="(310,290)"/>
<wire from="(310,290)" to="(370,290)"/>
<wire from="(310,190)" to="(370,190)"/>
<wire from="(410,180)" to="(470,180)"/>
<wire from="(410,280)" to="(470,280)"/>
<wire from="(250,290)" to="(310,290)"/>
<wire from="(410,280)" to="(410,290)"/>
<wire from="(250,170)" to="(300,170)"/>
<wire from="(300,270)" to="(370,270)"/>
<wire from="(300,170)" to="(370,170)"/>
<comp lib="0" loc="(470,180)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="label" val="result"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(250,170)" name="Pin">
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="label" val="a"/>
</comp>
<comp lib="0" loc="(470,280)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="label" val="result"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="8" loc="(390,270)" name="OR8bit"/>
<comp lib="0" loc="(250,290)" name="Pin">
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="label" val="b"/>
</comp>
<comp lib="7" loc="(390,170)" name="AND8bit"/>
</circuit>
</project>

@ -0,0 +1,203 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0"/>
<lib desc="#Gates" name="1"/>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4"/>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<main name="AND8bit"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="AND8bit">
<a name="circuit" val="AND8bit"/>
<a name="clabel" val="AND"/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<appear>
<rect fill="none" height="62" stroke="#000000" stroke-width="2" width="40" x="50" y="8"/>
<circ-port height="10" pin="870,380" width="10" x="85" y="35"/>
<circ-port height="8" pin="120,90" width="8" x="46" y="26"/>
<circ-port height="8" pin="110,660" width="8" x="46" y="46"/>
<circ-anchor facing="east" height="6" width="6" x="67" y="27"/>
</appear>
<wire from="(140,600)" to="(330,600)"/>
<wire from="(140,150)" to="(460,150)"/>
<wire from="(400,330)" to="(460,330)"/>
<wire from="(140,610)" to="(460,610)"/>
<wire from="(140,190)" to="(390,190)"/>
<wire from="(270,250)" to="(460,250)"/>
<wire from="(410,270)" to="(460,270)"/>
<wire from="(280,310)" to="(460,310)"/>
<wire from="(660,400)" to="(660,530)"/>
<wire from="(660,290)" to="(660,360)"/>
<wire from="(140,590)" to="(320,590)"/>
<wire from="(400,180)" to="(400,330)"/>
<wire from="(110,580)" to="(110,660)"/>
<wire from="(510,170)" to="(680,170)"/>
<wire from="(140,200)" to="(380,200)"/>
<wire from="(290,370)" to="(460,370)"/>
<wire from="(640,380)" to="(640,410)"/>
<wire from="(140,210)" to="(370,210)"/>
<wire from="(700,380)" to="(870,380)"/>
<wire from="(420,210)" to="(460,210)"/>
<wire from="(650,350)" to="(650,370)"/>
<wire from="(320,490)" to="(320,590)"/>
<wire from="(510,530)" to="(660,530)"/>
<wire from="(300,430)" to="(460,430)"/>
<wire from="(120,90)" to="(120,190)"/>
<wire from="(140,220)" to="(360,220)"/>
<wire from="(270,250)" to="(270,550)"/>
<wire from="(650,370)" to="(680,370)"/>
<wire from="(650,390)" to="(680,390)"/>
<wire from="(370,210)" to="(370,510)"/>
<wire from="(440,190)" to="(460,190)"/>
<wire from="(510,290)" to="(660,290)"/>
<wire from="(320,490)" to="(460,490)"/>
<wire from="(420,160)" to="(420,210)"/>
<wire from="(330,550)" to="(330,600)"/>
<wire from="(510,410)" to="(640,410)"/>
<wire from="(330,550)" to="(460,550)"/>
<wire from="(670,350)" to="(680,350)"/>
<wire from="(670,410)" to="(680,410)"/>
<wire from="(280,310)" to="(280,560)"/>
<wire from="(670,410)" to="(670,590)"/>
<wire from="(250,240)" to="(440,240)"/>
<wire from="(290,370)" to="(290,570)"/>
<wire from="(390,190)" to="(390,390)"/>
<wire from="(140,540)" to="(250,540)"/>
<wire from="(640,380)" to="(680,380)"/>
<wire from="(300,430)" to="(300,580)"/>
<wire from="(360,570)" to="(460,570)"/>
<wire from="(650,390)" to="(650,470)"/>
<wire from="(360,220)" to="(360,570)"/>
<wire from="(680,170)" to="(680,340)"/>
<wire from="(410,170)" to="(410,270)"/>
<wire from="(140,580)" to="(300,580)"/>
<wire from="(370,510)" to="(460,510)"/>
<wire from="(660,360)" to="(680,360)"/>
<wire from="(660,400)" to="(680,400)"/>
<wire from="(510,230)" to="(670,230)"/>
<wire from="(140,570)" to="(290,570)"/>
<wire from="(510,590)" to="(670,590)"/>
<wire from="(140,160)" to="(420,160)"/>
<wire from="(250,240)" to="(250,540)"/>
<wire from="(140,170)" to="(410,170)"/>
<wire from="(670,230)" to="(670,350)"/>
<wire from="(510,350)" to="(650,350)"/>
<wire from="(440,190)" to="(440,240)"/>
<wire from="(380,450)" to="(460,450)"/>
<wire from="(140,560)" to="(280,560)"/>
<wire from="(110,580)" to="(120,580)"/>
<wire from="(390,390)" to="(460,390)"/>
<wire from="(140,550)" to="(270,550)"/>
<wire from="(510,470)" to="(650,470)"/>
<wire from="(140,180)" to="(400,180)"/>
<wire from="(380,200)" to="(380,450)"/>
<comp lib="6" loc="(389,79)" name="Text">
<a name="text" val="8-bit AND"/>
</comp>
<comp lib="1" loc="(510,530)" name="AND Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(120,90)" name="Pin">
<a name="facing" val="south"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="label" val="a"/>
</comp>
<comp lib="0" loc="(120,190)" name="Splitter">
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="1" loc="(510,410)" name="AND Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(870,380)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(510,350)" name="AND Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(510,230)" name="AND Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="6" loc="(671,129)" name="Text">
<a name="text" val="Caleb Fontenot"/>
</comp>
<comp lib="1" loc="(510,170)" name="AND Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(120,580)" name="Splitter">
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="1" loc="(510,290)" name="AND Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(510,470)" name="AND Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(510,590)" name="AND Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(110,660)" name="Pin">
<a name="facing" val="north"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="label" val="b"/>
</comp>
<comp lib="0" loc="(700,380)" name="Splitter">
<a name="facing" val="west"/>
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
<a name="appear" val="legacy"/>
</comp>
</circuit>
</project>

@ -0,0 +1,209 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0"/>
<lib desc="#Gates" name="1"/>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4">
<tool name="ROM">
<a name="contents">addr/data: 8 8
0
</a>
</tool>
</lib>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<main name="OR8bit"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="OR8bit">
<a name="circuit" val="OR8bit"/>
<a name="clabel" val="OR"/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<appear>
<rect fill="none" height="62" stroke="#000000" stroke-width="2" width="40" x="50" y="8"/>
<circ-port height="10" pin="870,380" width="10" x="85" y="35"/>
<circ-port height="8" pin="120,90" width="8" x="46" y="26"/>
<circ-port height="8" pin="110,660" width="8" x="46" y="46"/>
<circ-anchor facing="east" height="6" width="6" x="67" y="27"/>
</appear>
<wire from="(140,600)" to="(330,600)"/>
<wire from="(140,150)" to="(460,150)"/>
<wire from="(400,330)" to="(460,330)"/>
<wire from="(140,610)" to="(460,610)"/>
<wire from="(140,190)" to="(390,190)"/>
<wire from="(270,250)" to="(460,250)"/>
<wire from="(410,270)" to="(460,270)"/>
<wire from="(280,310)" to="(460,310)"/>
<wire from="(660,400)" to="(660,530)"/>
<wire from="(660,290)" to="(660,360)"/>
<wire from="(140,590)" to="(320,590)"/>
<wire from="(400,180)" to="(400,330)"/>
<wire from="(110,580)" to="(110,660)"/>
<wire from="(510,170)" to="(680,170)"/>
<wire from="(140,200)" to="(380,200)"/>
<wire from="(290,370)" to="(460,370)"/>
<wire from="(640,380)" to="(640,410)"/>
<wire from="(140,210)" to="(370,210)"/>
<wire from="(700,380)" to="(870,380)"/>
<wire from="(420,210)" to="(460,210)"/>
<wire from="(650,350)" to="(650,370)"/>
<wire from="(320,490)" to="(320,590)"/>
<wire from="(510,530)" to="(660,530)"/>
<wire from="(300,430)" to="(460,430)"/>
<wire from="(120,90)" to="(120,190)"/>
<wire from="(140,220)" to="(360,220)"/>
<wire from="(270,250)" to="(270,550)"/>
<wire from="(650,370)" to="(680,370)"/>
<wire from="(650,390)" to="(680,390)"/>
<wire from="(370,210)" to="(370,510)"/>
<wire from="(440,190)" to="(460,190)"/>
<wire from="(510,290)" to="(660,290)"/>
<wire from="(320,490)" to="(460,490)"/>
<wire from="(420,160)" to="(420,210)"/>
<wire from="(330,550)" to="(330,600)"/>
<wire from="(510,410)" to="(640,410)"/>
<wire from="(330,550)" to="(460,550)"/>
<wire from="(670,350)" to="(680,350)"/>
<wire from="(670,410)" to="(680,410)"/>
<wire from="(280,310)" to="(280,560)"/>
<wire from="(670,410)" to="(670,590)"/>
<wire from="(250,240)" to="(440,240)"/>
<wire from="(290,370)" to="(290,570)"/>
<wire from="(390,190)" to="(390,390)"/>
<wire from="(140,540)" to="(250,540)"/>
<wire from="(640,380)" to="(680,380)"/>
<wire from="(300,430)" to="(300,580)"/>
<wire from="(360,570)" to="(460,570)"/>
<wire from="(650,390)" to="(650,470)"/>
<wire from="(360,220)" to="(360,570)"/>
<wire from="(680,170)" to="(680,340)"/>
<wire from="(410,170)" to="(410,270)"/>
<wire from="(140,580)" to="(300,580)"/>
<wire from="(370,510)" to="(460,510)"/>
<wire from="(660,360)" to="(680,360)"/>
<wire from="(660,400)" to="(680,400)"/>
<wire from="(510,230)" to="(670,230)"/>
<wire from="(140,570)" to="(290,570)"/>
<wire from="(510,590)" to="(670,590)"/>
<wire from="(140,160)" to="(420,160)"/>
<wire from="(250,240)" to="(250,540)"/>
<wire from="(140,170)" to="(410,170)"/>
<wire from="(670,230)" to="(670,350)"/>
<wire from="(510,350)" to="(650,350)"/>
<wire from="(440,190)" to="(440,240)"/>
<wire from="(380,450)" to="(460,450)"/>
<wire from="(140,560)" to="(280,560)"/>
<wire from="(110,580)" to="(120,580)"/>
<wire from="(390,390)" to="(460,390)"/>
<wire from="(140,550)" to="(270,550)"/>
<wire from="(510,470)" to="(650,470)"/>
<wire from="(140,180)" to="(400,180)"/>
<wire from="(380,200)" to="(380,450)"/>
<comp lib="6" loc="(389,79)" name="Text">
<a name="text" val="8-bit OR"/>
</comp>
<comp lib="0" loc="(120,90)" name="Pin">
<a name="facing" val="south"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="label" val="a"/>
</comp>
<comp lib="0" loc="(120,190)" name="Splitter">
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="1" loc="(510,410)" name="OR Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(510,290)" name="OR Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(870,380)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="1" loc="(510,350)" name="OR Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(510,230)" name="OR Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="6" loc="(671,129)" name="Text">
<a name="text" val="Caleb Fontenot"/>
</comp>
<comp lib="1" loc="(510,170)" name="OR Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(120,580)" name="Splitter">
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="1" loc="(510,590)" name="OR Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(110,660)" name="Pin">
<a name="facing" val="north"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="label" val="b"/>
</comp>
<comp lib="0" loc="(700,380)" name="Splitter">
<a name="facing" val="west"/>
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
<a name="appear" val="legacy"/>
</comp>
<comp lib="1" loc="(510,470)" name="OR Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="1" loc="(510,530)" name="OR Gate">
<a name="inputs" val="2"/>
</comp>
</circuit>
</project>