master
Chloe Fontenot 🏳️‍⚧️ 2022-10-10 10:31:37 +07:00
parent 881ed4d9f0
commit 53293eba2c
6 changed files with 535 additions and 0 deletions

Binary file not shown.

Binary file not shown.

@ -0,0 +1,527 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0">
<tool name="Splitter">
<a name="facing" val="north"/>
<a name="fanout" val="8"/>
<a name="incoming" val="8"/>
<a name="appear" val="legacy"/>
</tool>
<tool name="Pin">
<a name="facing" val="south"/>
</tool>
<tool name="Constant">
<a name="value" val="0x0"/>
</tool>
</lib>
<lib desc="#Gates" name="1"/>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4">
<tool name="ROM">
<a name="contents">addr/data: 8 8
0
</a>
</tool>
</lib>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<tool name="Text">
<a name="text" val="a"/>
</tool>
</lib>
<lib desc="file#/media/DataEXT4/Documents/Logisim/lab13/Registers.circ" name="7"/>
<lib desc="file#/media/DataEXT4/Documents/Logisim/lab15/ALU_CalebFontenot.circ" name="8"/>
<lib desc="file#/media/DataEXT4/Documents/Logisim/lab17/ProgramCounter.circ" name="9"/>
<lib desc="file#/media/DataEXT4/Documents/Logisim/lab18/logicNoChip.circ" name="10"/>
<main name="memory-ALU-Testing"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="memory-ALU-Testing">
<a name="circuit" val="memory-ALU-Testing"/>
<a name="clabel" val=""/>
<a name="clabelup" val="north"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(540,500)" to="(540,510)"/>
<wire from="(610,170)" to="(610,180)"/>
<wire from="(540,500)" to="(590,500)"/>
<wire from="(370,770)" to="(420,770)"/>
<wire from="(260,460)" to="(310,460)"/>
<wire from="(580,50)" to="(580,140)"/>
<wire from="(310,460)" to="(310,540)"/>
<wire from="(240,60)" to="(410,60)"/>
<wire from="(730,570)" to="(730,600)"/>
<wire from="(870,360)" to="(910,360)"/>
<wire from="(240,40)" to="(240,60)"/>
<wire from="(520,580)" to="(690,580)"/>
<wire from="(730,450)" to="(730,470)"/>
<wire from="(810,40)" to="(810,150)"/>
<wire from="(730,530)" to="(730,570)"/>
<wire from="(520,510)" to="(540,510)"/>
<wire from="(610,520)" to="(630,520)"/>
<wire from="(590,540)" to="(610,540)"/>
<wire from="(710,500)" to="(730,500)"/>
<wire from="(730,520)" to="(750,520)"/>
<wire from="(310,540)" to="(330,540)"/>
<wire from="(710,490)" to="(740,490)"/>
<wire from="(540,440)" to="(570,440)"/>
<wire from="(950,90)" to="(980,90)"/>
<wire from="(900,480)" to="(930,480)"/>
<wire from="(360,540)" to="(440,540)"/>
<wire from="(540,680)" to="(620,680)"/>
<wire from="(540,640)" to="(620,640)"/>
<wire from="(540,720)" to="(620,720)"/>
<wire from="(310,460)" to="(570,460)"/>
<wire from="(310,340)" to="(310,460)"/>
<wire from="(730,570)" to="(740,570)"/>
<wire from="(740,500)" to="(750,500)"/>
<wire from="(520,440)" to="(530,440)"/>
<wire from="(520,480)" to="(530,480)"/>
<wire from="(620,460)" to="(630,460)"/>
<wire from="(740,470)" to="(740,480)"/>
<wire from="(730,440)" to="(850,440)"/>
<wire from="(620,710)" to="(620,720)"/>
<wire from="(460,70)" to="(460,80)"/>
<wire from="(340,350)" to="(340,360)"/>
<wire from="(530,470)" to="(570,470)"/>
<wire from="(770,150)" to="(810,150)"/>
<wire from="(420,260)" to="(420,340)"/>
<wire from="(430,80)" to="(430,110)"/>
<wire from="(480,570)" to="(480,600)"/>
<wire from="(620,380)" to="(620,460)"/>
<wire from="(630,150)" to="(740,150)"/>
<wire from="(290,550)" to="(330,550)"/>
<wire from="(590,480)" to="(590,500)"/>
<wire from="(730,500)" to="(730,520)"/>
<wire from="(450,40)" to="(810,40)"/>
<wire from="(730,380)" to="(730,400)"/>
<wire from="(580,140)" to="(600,140)"/>
<wire from="(400,110)" to="(430,110)"/>
<wire from="(390,340)" to="(420,340)"/>
<wire from="(630,790)" to="(650,790)"/>
<wire from="(710,470)" to="(730,470)"/>
<wire from="(730,450)" to="(750,450)"/>
<wire from="(950,180)" to="(980,180)"/>
<wire from="(540,340)" to="(540,440)"/>
<wire from="(100,460)" to="(120,460)"/>
<wire from="(870,360)" to="(870,420)"/>
<wire from="(740,470)" to="(750,470)"/>
<wire from="(460,860)" to="(530,860)"/>
<wire from="(590,160)" to="(600,160)"/>
<wire from="(610,180)" to="(620,180)"/>
<wire from="(610,460)" to="(620,460)"/>
<wire from="(650,750)" to="(650,760)"/>
<wire from="(650,790)" to="(650,800)"/>
<wire from="(750,170)" to="(750,180)"/>
<wire from="(530,470)" to="(530,480)"/>
<wire from="(730,530)" to="(850,530)"/>
<wire from="(620,640)" to="(620,650)"/>
<wire from="(520,60)" to="(520,70)"/>
<wire from="(290,550)" to="(290,560)"/>
<wire from="(420,340)" to="(540,340)"/>
<wire from="(100,520)" to="(150,520)"/>
<wire from="(100,60)" to="(100,460)"/>
<wire from="(340,560)" to="(340,580)"/>
<wire from="(590,160)" to="(590,250)"/>
<wire from="(690,550)" to="(690,580)"/>
<wire from="(520,580)" to="(520,600)"/>
<wire from="(650,550)" to="(650,570)"/>
<wire from="(590,250)" to="(740,250)"/>
<wire from="(430,110)" to="(460,110)"/>
<wire from="(710,440)" to="(730,440)"/>
<wire from="(340,350)" to="(360,350)"/>
<wire from="(540,620)" to="(570,620)"/>
<wire from="(540,660)" to="(570,660)"/>
<wire from="(540,700)" to="(570,700)"/>
<wire from="(540,740)" to="(570,740)"/>
<wire from="(950,150)" to="(980,150)"/>
<wire from="(450,70)" to="(460,70)"/>
<wire from="(460,80)" to="(470,80)"/>
<wire from="(450,50)" to="(580,50)"/>
<wire from="(930,510)" to="(940,510)"/>
<wire from="(440,540)" to="(440,600)"/>
<wire from="(720,180)" to="(730,180)"/>
<wire from="(450,60)" to="(520,60)"/>
<wire from="(650,790)" to="(660,790)"/>
<wire from="(580,140)" to="(580,340)"/>
<wire from="(740,490)" to="(740,500)"/>
<wire from="(530,440)" to="(530,450)"/>
<wire from="(630,780)" to="(630,790)"/>
<wire from="(190,500)" to="(190,510)"/>
<wire from="(310,340)" to="(360,340)"/>
<wire from="(690,350)" to="(690,420)"/>
<wire from="(650,350)" to="(650,420)"/>
<wire from="(540,340)" to="(580,340)"/>
<wire from="(530,450)" to="(570,450)"/>
<wire from="(930,480)" to="(930,510)"/>
<wire from="(480,570)" to="(650,570)"/>
<wire from="(770,250)" to="(810,250)"/>
<wire from="(500,810)" to="(500,830)"/>
<wire from="(150,500)" to="(150,520)"/>
<wire from="(870,340)" to="(870,360)"/>
<wire from="(540,760)" to="(650,760)"/>
<wire from="(370,360)" to="(370,390)"/>
<wire from="(610,520)" to="(610,540)"/>
<wire from="(730,160)" to="(730,180)"/>
<wire from="(730,400)" to="(730,440)"/>
<wire from="(500,830)" to="(530,830)"/>
<wire from="(710,530)" to="(730,530)"/>
<wire from="(810,150)" to="(810,250)"/>
<wire from="(710,480)" to="(740,480)"/>
<wire from="(950,120)" to="(980,120)"/>
<wire from="(100,480)" to="(120,480)"/>
<wire from="(540,780)" to="(630,780)"/>
<wire from="(520,70)" to="(530,70)"/>
<wire from="(330,560)" to="(340,560)"/>
<wire from="(100,60)" to="(240,60)"/>
<wire from="(460,810)" to="(460,860)"/>
<wire from="(930,480)" to="(940,480)"/>
<wire from="(650,760)" to="(660,760)"/>
<wire from="(730,160)" to="(740,160)"/>
<wire from="(730,400)" to="(740,400)"/>
<wire from="(640,350)" to="(650,350)"/>
<comp lib="0" loc="(730,380)" name="Pin">
<a name="facing" val="south"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(620,180)" name="Tunnel">
<a name="label" val="pcsel"/>
</comp>
<comp lib="0" loc="(660,790)" name="Tunnel">
<a name="label" val="pcload"/>
</comp>
<comp lib="0" loc="(940,510)" name="Tunnel">
<a name="width" val="8"/>
<a name="label" val="ALU Result"/>
</comp>
<comp lib="6" loc="(373,303)" name="Text">
<a name="text" val="ImmedR"/>
<a name="font" val="SansSerif bold 20"/>
</comp>
<comp lib="0" loc="(400,110)" name="Pin">
<a name="output" val="true"/>
<a name="width" val="2"/>
<a name="label" val="addrsel"/>
<a name="labelloc" val="south"/>
</comp>
<comp lib="0" loc="(520,440)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="8"/>
<a name="label" val="sbus"/>
</comp>
<comp lib="0" loc="(750,180)" name="Clock">
<a name="facing" val="north"/>
</comp>
<comp lib="0" loc="(590,540)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="dwrite"/>
</comp>
<comp lib="0" loc="(740,570)" name="Tunnel">
<a name="width" val="8"/>
<a name="label" val="sbus"/>
</comp>
<comp lib="0" loc="(520,510)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="2"/>
<a name="label" val="regsel"/>
</comp>
<comp lib="0" loc="(980,150)" name="Tunnel">
<a name="width" val="8"/>
<a name="label" val="r2"/>
</comp>
<comp lib="0" loc="(950,150)" name="Pin">
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(420,260)" name="Pin">
<a name="facing" val="south"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(620,650)" name="Tunnel">
<a name="label" val="irload"/>
</comp>
<comp lib="0" loc="(530,830)" name="Tunnel">
<a name="width" val="2"/>
<a name="label" val="sregsel"/>
</comp>
<comp lib="2" loc="(610,460)" name="Multiplexer">
<a name="select" val="2"/>
<a name="width" val="8"/>
<a name="enable" val="false"/>
</comp>
<comp lib="7" loc="(670,490)" name="Registers"/>
<comp lib="4" loc="(260,460)" name="RAM">
<a name="bus" val="separate"/>
</comp>
<comp lib="8" loc="(870,440)" name="ALU"/>
<comp lib="0" loc="(650,800)" name="Pin">
<a name="facing" val="north"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(100,480)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="8"/>
<a name="label" val="dbus"/>
</comp>
<comp lib="2" loc="(410,60)" name="Multiplexer">
<a name="facing" val="west"/>
<a name="select" val="2"/>
<a name="width" val="8"/>
<a name="enable" val="false"/>
</comp>
<comp lib="0" loc="(340,580)" name="Clock">
<a name="facing" val="north"/>
</comp>
<comp lib="0" loc="(950,180)" name="Pin">
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(980,90)" name="Tunnel">
<a name="width" val="8"/>
<a name="label" val="r0"/>
</comp>
<comp lib="0" loc="(730,600)" name="Pin">
<a name="facing" val="north"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(570,700)" name="Tunnel">
<a name="label" val="dwrite"/>
</comp>
<comp lib="0" loc="(620,680)" name="Tunnel">
<a name="width" val="2"/>
<a name="label" val="regsel"/>
</comp>
<comp lib="0" loc="(460,110)" name="Tunnel">
<a name="width" val="2"/>
<a name="label" val="addrsel"/>
</comp>
<comp lib="0" loc="(940,480)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="label" val="result"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="6" loc="(754,122)" name="Text">
<a name="text" val="PC"/>
<a name="font" val="SansSerif bold 20"/>
</comp>
<comp lib="6" loc="(133,56)" name="Text">
<a name="text" val="address bus"/>
</comp>
<comp lib="0" loc="(190,510)" name="Clock">
<a name="facing" val="north"/>
</comp>
<comp lib="9" loc="(760,260)" name="programCounter">
<a name="facing" val="west"/>
<a name="labelloc" val="west"/>
</comp>
<comp lib="0" loc="(690,350)" name="Tunnel">
<a name="facing" val="south"/>
<a name="width" val="2"/>
<a name="label" val="sregsel"/>
</comp>
<comp lib="0" loc="(640,350)" name="Tunnel">
<a name="facing" val="south"/>
<a name="width" val="2"/>
<a name="label" val="dregsel"/>
</comp>
<comp lib="0" loc="(980,120)" name="Tunnel">
<a name="width" val="8"/>
<a name="label" val="r1"/>
</comp>
<comp lib="0" loc="(340,360)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="imload"/>
</comp>
<comp lib="10" loc="(480,640)" name="logicNoChip"/>
<comp lib="0" loc="(750,500)" name="Tunnel">
<a name="width" val="8"/>
<a name="label" val="r2"/>
</comp>
<comp lib="0" loc="(290,560)" name="Tunnel">
<a name="facing" val="north"/>
<a name="label" val="imload"/>
</comp>
<comp lib="0" loc="(870,340)" name="Pin">
<a name="facing" val="south"/>
<a name="output" val="true"/>
<a name="width" val="2"/>
<a name="tristate" val="false"/>
<a name="label" val="ALU Operation"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="0" loc="(530,70)" name="Tunnel">
<a name="width" val="8"/>
<a name="label" val="sbus"/>
</comp>
<comp lib="0" loc="(720,180)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="pcload"/>
</comp>
<comp lib="2" loc="(630,150)" name="Multiplexer">
<a name="width" val="8"/>
<a name="enable" val="false"/>
</comp>
<comp lib="6" loc="(184,415)" name="Text">
<a name="text" val="RAM"/>
<a name="font" val="SansSerif bold 20"/>
</comp>
<comp lib="0" loc="(240,40)" name="Pin">
<a name="facing" val="south"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="label" val="memory address"/>
<a name="labelloc" val="north"/>
</comp>
<comp lib="4" loc="(390,340)" name="Register"/>
<comp lib="4" loc="(770,150)" name="Register"/>
<comp lib="0" loc="(740,400)" name="Tunnel">
<a name="width" val="8"/>
<a name="label" val="dbus"/>
</comp>
<comp lib="6" loc="(754,290)" name="Text">
<a name="text" val="PC Adder"/>
<a name="font" val="SansSerif bold 18"/>
</comp>
<comp lib="0" loc="(620,710)" name="Tunnel">
<a name="width" val="2"/>
<a name="label" val="aluop"/>
</comp>
<comp lib="0" loc="(910,360)" name="Tunnel">
<a name="width" val="2"/>
<a name="label" val="aloup"/>
</comp>
<comp lib="0" loc="(980,180)" name="Tunnel">
<a name="width" val="8"/>
<a name="label" val="r3"/>
</comp>
<comp lib="0" loc="(530,860)" name="Tunnel">
<a name="width" val="2"/>
<a name="label" val="dregsel"/>
</comp>
<comp lib="0" loc="(520,480)" name="Tunnel">
<a name="facing" val="east"/>
<a name="width" val="8"/>
<a name="label" val="ALU Result"/>
</comp>
<comp lib="0" loc="(950,120)" name="Pin">
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(100,520)" name="Tunnel">
<a name="facing" val="east"/>
<a name="label" val="readwrite"/>
</comp>
<comp lib="0" loc="(950,90)" name="Pin">
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="tristate" val="false"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(660,760)" name="Tunnel">
<a name="label" val="pcsel"/>
</comp>
<comp lib="0" loc="(470,80)" name="Tunnel">
<a name="width" val="8"/>
<a name="label" val="dbus"/>
</comp>
<comp lib="0" loc="(750,470)" name="Tunnel">
<a name="width" val="8"/>
<a name="label" val="r1"/>
</comp>
<comp lib="6" loc="(52,399)" name="Text">
<a name="text" val="Memory Address"/>
</comp>
<comp lib="0" loc="(570,620)" name="Tunnel">
<a name="width" val="2"/>
<a name="label" val="addrsel"/>
</comp>
<comp lib="4" loc="(360,540)" name="Register"/>
<comp lib="0" loc="(750,520)" name="Tunnel">
<a name="width" val="8"/>
<a name="label" val="r3"/>
</comp>
<comp lib="0" loc="(370,770)" name="Pin">
<a name="output" val="true"/>
<a name="width" val="2"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(570,740)" name="Tunnel">
<a name="label" val="readwrite"/>
</comp>
<comp lib="0" loc="(620,380)" name="Pin">
<a name="facing" val="south"/>
<a name="output" val="true"/>
<a name="width" val="8"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(650,750)" name="Pin">
<a name="facing" val="south"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(570,660)" name="Tunnel">
<a name="label" val="imload"/>
</comp>
<comp lib="0" loc="(750,450)" name="Tunnel">
<a name="width" val="8"/>
<a name="label" val="r0"/>
</comp>
<comp lib="0" loc="(370,390)" name="Clock">
<a name="facing" val="north"/>
</comp>
</circuit>
</project>

@ -0,0 +1,4 @@
v2.0 raw
e4 0 e0 80 48 88 d 26
ec 1 23 ff 4 d4 40 ff
f 111*0 1 2 3 4

@ -0,0 +1,4 @@
v2.0 raw
e4 0 e0 80 48 88 d 36
ec 1 33 ff 4 d4 40 ff
f 111*0 1 2 3 4

Binary file not shown.

After

Width:  |  Height:  |  Size: 21 KiB